DE102013106621B3 - Finnenform für Feldeffekttransistoren und Verfahren zu deren Bildung - Google Patents

Finnenform für Feldeffekttransistoren und Verfahren zu deren Bildung Download PDF

Info

Publication number
DE102013106621B3
DE102013106621B3 DE201310106621 DE102013106621A DE102013106621B3 DE 102013106621 B3 DE102013106621 B3 DE 102013106621B3 DE 201310106621 DE201310106621 DE 201310106621 DE 102013106621 A DE102013106621 A DE 102013106621A DE 102013106621 B3 DE102013106621 B3 DE 102013106621B3
Authority
DE
Germany
Prior art keywords
gate electrode
notch
forming
dielectric layer
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE201310106621
Other languages
English (en)
Inventor
Jr-Jung LIN
Chih-Han Lin
Ming-Ching Chang
Chao-Cheng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102013106621B3 publication Critical patent/DE102013106621B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Abstract

Ein Fin-Feldeffekt-Transistor (finFET) und ein Verfahren zu dessen Bildung wird vorgeschlagen. Eine Gateelektrode ist über einer oder mehrere Finnen ausgebildet. Kerben sind in den Enden der Gateelektrode entlang einer Basis der Gateelektrode ausgebildet. Optional kann eine darunterliegende dielektrische Schicht, etwa eine flache Grabenisolation, unter der Kerbe vertieft sein, wodurch die Spaltenfüllungsprobleme reduziert werden.

Description

  • Die beherrschende Halbleitertechnologie, die zur Herstellung von ultrahoch integrierten Schaltungen (ULSI) verwendet wird, ist der Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET). Die Reduktion der Größe von MOSFET hat zu einer ständigen Verbesserung der Geschwindigkeit, der Eigenschaft, der Schaltungsdichte und der Kosten pro Einheit während der vergangenen Jahrzehnte geführt. Da die Gatelänge bei den üblichen MOSFET reduziert ist, wirken die Source und Drain zunehmend mit dem Kanal zusammen und haben einen zunehmenden Einfluss auf das Kanalpotential. Infolgedessen leidet ein Transistor mit einer kurzen Gatelänge an Problemen in Bezug auf die Unfähigkeit des Gates, den Ein- und Ausschaltzustand des Kanals wesentlich zu steuern.
  • Phänomene wie eine verringerte Gatesteuerung, die bei Transistoren mit kurzen Kanallängen auftreten, werden als Short-Channel-Effekte bezeichnet. Eine erhöhte Konzentration der Dotierung des Körpers, eine reduzierte Dicke des Gate-Oxids und ultraflache Source/Drain-Verbindungen sind Wege, um die Short-Channel-Effekte zu unterdrücken. Bei Einheiten, die in den Bereich unter 30 nm kommen, werden Versuche einschließlich der Verwendung von Finnen-Feld-Effekt-Transistoren (FinFET) untersucht, um die Short-Channel-Effekte zu verbessern.
  • Im Allgemeinen weisen FinFET erhabene Source/Drain-Bereiche mit einem oder mehreren erhöhten Kanalbereichen, die als eine Finne bezeichnet werden, auf.
  • Ein Gatedielektrikum und eine Gateelektrode sind über der Finne ausgebildet. Es hat sich gezeigt, dass FinFET eine verbesserte Skalierbarkeit bieten, da die designerischen Anforderungen sinken und eine bessere Kurz-Kanalsteuerung erreicht wird.
  • Die US 2007/0241414 A1 beschreibt einen FinFET, dessen Gateelektroden eine sogenannte notch-gate Form (Kerben-Gateform) haben, wobei ein Bereich der Elektroden, der mit dem Gateisolationsfilm in Kontakt ist, kürzer ist als der obere Teil des Gates. Die Kerben werden durch gezieltes Ätzen der Gateelektrodenschicht mit und ohne Seitenwand-Schutzschicht ausgebildet.
  • Die US 2009/0134454 A1 beschreibt ebenfalls FinFETs mit einer dielektrischen Schicht zwischen den Finnen, wobei im Gate der FinFETs Kerben ausgebildet werden, um dieses Gate als günstige Implantationsmaske zu verwenden.
  • Die US 2006/0138553 A1 beschreibt ebenfalls FinFETs, wobei Opfergateelektroden über Finnen ausgebildet werden. Diese werden mithilfe von Masken geätzt.
  • Die US 2010/0203717 A1 beschreibt ein Cut-First Verfahren und erläutert das Bilden von Gate-Linien mit nachfolgendem Cut bzw. Schnitt zu getrennten Gateelektroden. Kerben werden dabei nicht gebildet.
  • Die Erfindung sieht ein Verfahren zum Bilden eines FinFET gemäß Patentanspruch 1 und einen FinFET gemäß Patentanspruch 11 vor. Ausführungsformen der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • KURZE ERLÄUTERUNG DER ZEICHNUNGEN
  • Für ein besseres Verständnis der vorliegenden Erfindung und deren Vorteil wird jetzt auf die nachfolgenden Beschreibungen Bezug genommen, die in Verbindung mit den beiliegenden Zeichnungen zu sehen sind. Dabei zeigt bzw. zeigen:
  • 1A1C, 2A2C, 3A3C, 4A4C und 5A5C verschiedene Ansichten während verschiedener Verarbeitungsschritte während des Bildens eines FinFET in Übereinstimmung mit einem weiteren Ausführungsbeispiel der vorliegenden Erfindung;
  • 6 eine vergrößerte Ansicht einer Kerbe, die in einer Gateelektrode in Übereinstimmung mit einem Ausführungsbeispiel ausgebildet ist;
  • 7A7F verschiedene Formen von Kerben in Übereinstimmung mit Ausführungsbeispielen;
  • 8a8F verschiedene Formen von Vertiefungen in Übereinstimmungen mit Ausführungsbeispielen; und
  • 9 ein Flussdiagramm, das ein Verfahren zum Bilden von FinFET in Übereinstimmung mit einem Ausführungsbeispiel darstellt.
  • EINGEHENDE BESCHREIBUNG ILLUSTRATIVER BEISPIELE
  • Ausführungsbeispiele der vorliegenden Erfindung schaffen mehrere verbesserte Verfahren für die Bildung von Halbleiterbauteilen und der sich daraus ergebenden Strukturen. Diese Ausführungsbeispiele werden unten in Zusammenhang der Bildung von FinFET Transistoren mit einer einzigen Finne oder mehreren Finnen auf einem Siliciumsubstrat diskutiert. Der Fachmann wird jedoch berücksichtigen, dass Ausführungsbeispiele der vorliegenden Erfindung mit anderen Ausbildungen verwendet werden kann, wie, beispielsweise bei Omega-FET oder Strukturen mit zwei oder mehreren Finnen.
  • Die 1A5C zeigen ein Verfahren der Bildung von FinFET Bauteilen in Übereinstimmung mit einem Ausführungsbeispiel der vorliegenden Erfindung. Es wird zunächst auf die 1A1C Bezug genommen. Die 1C zeigt eine Draufsicht auf einen Wafer, der ein Substrat 110, eine Finne 112, eine Dielektrikumsschicht 114 und eine erste Maskenschicht 126 aufweist. In 1A ist eine Querschnittsansicht gezeigt entlang der Linie A-A von 1C und 1B ist eine Querschnittsansicht entlang der Linie B-B von 1C, die erste Maskenschicht 126 ist über einer Gateisolationsschicht 118 und einer Gateelektrodenschicht 120 ausgebildet. Die in den 1A1C dargestellte Struktur dient lediglich der Illustration und kann durch jedes geeignete Verfahren zur Bildung eines FinFET gebildet werden.
  • Das Substrat 110 kann jedes Halbleitermaterial sein oder kann bekannte Strukturen einschl. einer gradierten Schicht oder beispielsweise ein vergrabenes Oxid aufweisen. Bei einem Ausführungsbeispiel weist das Substrat 110 ein Silikon auf, das dotiert (beispielsweise vom p-Typ, vom n-Typ oder einer Kombination daraus) oder nicht dotiert sein kann. Andere Materialien, die zur Bildung von Halbleiterbauteile geeignet sind, können verwendet werden. Andere Materialien wie Germanium, Quarz, Saphir und Glas könnten alternativ für das Substrat 110 verwendet werden. Alternativ kann das Silikonsubstrat 110 eine aktive Schicht auf einem Halbleiter-Auf-Isolator (SOI) Substrat oder eine mehrschichtige Struktur wie eine Silizium/Germaniumschicht, die auf einer Silikonschicht ausgebildet ist, sein.
  • Die Finnen 112 können beispielsweise durch Strukturieren und Ätzen des Substrats 110 durch Verwenden von photolithographischen Techniken gebildet sein. Im Allgemeinen wird eine Schicht eines photoresistiven Materials über dem Substrat 110 abgelagert. Die Schicht des photoresistiven Materials wird beleuchtet (exponiert) in Übereinstimmung mit einem gewünschten Muster (in diesem Fall den Finnen 112) und entwickelt zum Entfernen eines Abschnitts des photoresistiven Materials. Das verbleibende photoresistive Material schützt das darunterliegende Material vor den nachfolgenden Bearbeitungsschritten, wie dem Ätzen. Es sollte beachtet werden, dass andere Masken wie eine Oxid- oder Silizium-Nitridmaske auch bei dem Ätzvorgang verwendet werden können.
  • Bei anderen Ausführungsbeispielen können die Finnen 112 epitaktisch wachsen. Beispielsweise können exponierte Bereiche des darunterliegenden Materials, wie ein exponierter Bereich des Substrats 110 in einem epitakitschen Prozess zur Bildung der Finnen 112 verwendet werden. Eine Maske kann zum Steuern der Form der Finnen 112 während des epitakitschen Wachstums verwendet werden.
  • Die dielektrische Schicht 114, die als eine flache Grabenisolation (STI) um die Firmen 112 wirkt, kann durch chemische Dampfablagerung (CVD) Techniken unter Verwendung von Tetra-Ethyl-Orthosilizium (TEOS) und einem Sauerstoff als Vorläufer verwendet werden. Bei einem anderen Ausführungsbeispiel kann die dielektrische Schicht 114 durch das Implantieren durch Ionen, wie Sauerstoff, Stickstoff, Kohlenstoff oder dergleichen in das Siliziumsubstrat 110 gebildet werden. Bei einem weiteren Ausführungsbeispiel ist die dielektrische Schicht 114 die Isolationsschicht des SOI Wafers.
  • Die Gateisolationsschicht 118, die eine Elektronenverarmung verhindert, kann beispielsweise eine Oxidschicht sein, die durch einen Oxidationsvorgang, etwa einer nassen oder trockenen Oxidation in einer Umgebung, die O2, H2O, NO oder eine Kombination davon, in einer in-situ Dampferzeugungsvorgang (ISSG) in einer Umgebung von O2, H2O, NO oder eine Kombination daraus oder dergleichen gebildet werden kann. Andere Materialien, einschließlich dielektrischen Materialien mit einem hohen k-Wert, wie HfO2, HfSiO2, ZnO, ZrO2, Ta2O5, Al2O3 oder dergleichen und andere Verfahren, wie einer atomaren Schichtablagerung (ALD), einer atomaren Dampfablagerung (AVD) und dergleichen verwendet werden.
  • Die Gateelektrodenschicht 120 weist vorzugsweise ein Halbleitermaterial, wie Polysilizium, amorphes Silizium, oder dergleichen auf, das über die Firmen 112 abgelagert ist, wie in 1A gezeigt. Die Gateelektrodenschicht 120 kann dotiert oder nicht dotiert abgelagert werden. Beispielsweise weist die Gateelektrodenschicht 120 bei einem Ausführungsbeispiel Polysilizium auf, das nicht dotiert durch eine chemische Dampfablagerung bei geringem Druck (LPCVD) aufweist. Einmal aufgebracht, kann das Polysilizium mit beispielsweise Phosphorionen (oder anderen Dotanden vom P-Typ) dotiert werden zum Bilden eines PMOS Bauelements oder Bor (oder anderen Dotanden vom N-Typ) zum Bilden eines NMOS Bauteils. Das Polysilizium kann beispielsweise auch durch Ofenablagerung eines in-situ dotierten Polysiliziums abgelagert werden. Alternativ kann die Gateelektrodenschicht 120 eine Polysilizium Metalllegierung oder ein Metall-Gate mit Metallen, wie Wolfram, Nickel, Aluminium, Tantal und Titan, zum Beispiel, aufweisen.
  • Die erste Maskenschicht 126 ist eine Schutzschicht, die nachfolgend strukturiert wird, um die darunterliegenden Strukturen (d. h., die Gateelektrodenschicht 120) vor einer Entfernung während der nachfolgenden Prozesse, wie dem Ätzen oder Implantierungsschritten zu schützten. Eine derartige geeignete erste Maskenschicht 126 weist eine Oxidmaskenschicht 122 und eine Nitridmaskenschicht 124 auf, wie in den 1A1B gezeigt ist. Die Oxidmaskenschicht 122 kann eine Siliziumoxidschicht sein, die durch CVD Techniken unter Verwendung von TEOS und Sauerstoff als ein Vorläufer sein. Die Nitridschicht kann ein Siliziumnitirid sein, das gebildet wird unter Verwendung von CVD Techniken unter Verwendung von Silan und Ammonium als Vorläufergase und Ablagerungstemperaturen im Bereich von 550° bis 900°C. Die Nitridschicht kann andere Nitrid beinhaltende Schichten, wie Siliziumoxynitrid SiOxNy, Siliziumoxide SiOxNy:Hz oder eine Kombination aufweisen.
  • Dem Fachmann ist jedoch klar, dass andere Maskierungsmaterialien und/oder Strukturen verwendet werden können zur Bildung einer Maskenschicht 126. Beispielsweise können andere Materialien, eine einzige Schicht, drei oder mehrere Schichten oder dergleichen verwendet werden.
  • Die 1A1C zeigen weiter eine erste strukturierte Maske 230, die über die erste Maskenschicht 126 ausgebildet ist und strukturiert ist zum Definieren von Gateelektrodenlinien. Bei einem Ausführungsbeispiel ist die erste strukturierte Maske 230 eine photoresistive Maske, die durch Ablagern, Exponieren und Entwickeln einer Schicht eines photoresistiven Materials gebildet ist. Die strukturierte Schicht 230 ist zum Bilden von Gateelektrodenlinien in nachfolgenden Prozessschritten strukturiert, wie dies weiter unten in größeren Einzelheiten beschrieben werden wird.
  • Es wird jetzt auf die 2A2C Bezug genommen. Die 2A und 2B sind Querschnittsansichten entlang der Linie A-A bzw. B-B von 2C. Gezeigt ist das Muster der ersten Maskenschicht 126 zum Definieren von Gateelektrodenlinien in Übereinstimmung mit einem Ausführungsbeispiel. Bei einem Ausführungsbeispiel, bei dem die erste Maskenschicht 126 eine Nitridmaskenschicht 124 und die erste Oxidmaskenschicht 122 aufweist, wobei die erste Maskenschicht 126 durch beispielsweise ein Nasseintauchen in Phosphorsäure (H3PO4) wässriger Fluorsäure und/oder dergleichen strukturiert sein.
  • Es ist zu beachten, dass die erste strukturierte Maske 230, d. h., die photoresistive Maske in den 2A2C zum Zwecke der Illustration nicht dargestellt sind. Die photoresistive Maske oder Bereiche daraus können bleiben. Die Hartmaske, wie die erste Maskenschicht 126 schafft einen zusätzlichen Schutz für die darunterliegenden Bereiche während des Ätzvorgangs. Wie in 2B gezeigt, kann das Ätzen während des Musters der ersten Maskenschicht 126 dazu führen, dass die Gateelektrode 120 leicht überätzt wird.
  • Die Gateelektroden sind, wie weiter unten in größerer Einzelheit beschrieben werden wird, definiert unter Verwendung von zwei Musterungsschritten. Der erste Musterungsschritt, der oben diskutiert worden ist, bildet kontinuierliche Gatelinien. Ein zweiter Musterungsschritt, der nachfolgend unter Bezugnahme auf die 3A4C diskutiert werden wird, führt einen Schneidvorgang aus, bei dem oben definierten Gatelinien „geschnitten” werden, wodurch die Enden der Gatelinien definiert werden.
  • Die 3A und 3B sind Querschnittsansichten entlang der Linie A-A bzw. B-B von 3C. Sie zeigen eine zweite strukturierte Maske 232, die über der ersten Maskenschicht 126 ausgebildet ist und strukturiert ist zum Definieren der Gateelektrodenenden. Bei einem Ausführungsbeispiel ist die zweite strukturierte Maske 232 eine photoresitive Maske, die durch Ablagern, Belichten und Entwickeln einer Schicht des photoresistiven Materials gebildet wird. Die zweite strukturierte Maske 232 ist strukturiert zum Definieren der Enden der Gateelektrode in der ersten Maskenschicht 126, wie des weiter unten genauer beschrieben werden wird.
  • Die zweite strukturierte Maske 232 ist, wie in 3C dargestellt, strukturiert zur Bildung einer Öffnung in Form einer Linie, die sich über mehrere Linien der ersten Maskenschicht 126 erstreckt. Bei anderen Ausführungsbeispielen können die Muster, die von der zweiten strukturierten Maske 232 gebildet werden, eine oder mehrere Öffnungen sein, wobei sich jede Öffnung über eine oder mehrere der Linien erstreckt, die von der ersten Maskenschicht 126 gebildet wird. Beispielsweise hat bei Ausführungsbeispielen jede der von der ersten Maskenschicht 126 gebildeten Linien eine kreisförmige, rechteckige oder anders geformte Öffnung, die in der zweiten strukturierten Maske 232 ausgebildet ist, wodurch die Linienenden definiert werden.
  • Die 4A und 4B sind Querschnittsansichten entlang der Linie A-A bzw. B-B von 4C. Sie zeigen einen zweiten Musterungsschritt der ersten Maskenschicht 126 zum Definieren der Gateenden. Bei einem Ausführungsbeispiel weist die erste Maskenschicht 126 die Nitridmaskenschicht 124 und die Oxidmaskenschicht 122 auf. Die erste Maskenschicht 126 kann strukturiert werden unter Verwendung von, beispielsweise, dem Eintauchen in Phosphorsäure (H3PO4) wässriger Fluorsäure und/oder dergleichen. Es ist zu beachten, dass die Reihenfolge des Musterns zur Bildung der Gateelektrodenlinien gefolgt von einer Musterung zum Schneiden der Linie lediglich für Illustrationszwecke vorgesehen wurde. Andere Ausführungsbeispiele können eine unterschiedliche Reihenfolge verwenden. Bei anderen Ausführungsbeispielen kann das Muster beispielsweise umgekehrt sein, so dass die Enden der Gateelektroden zunächst strukturiert werden, gefolgt von dem Mustern zur Bildung der Gateelektrodenlinien.
  • Die erste Maskenschicht 126 wurde, wie oben beschrieben, unter Verwendung von zwei Musterungsvorgängen strukturiert. Der erste Musterungsprozess, der unter Bezugnahme auf die 1 und 2 gezeigt ist, mustert die erste Maskenschicht 126 zum Definieren der Gateelektrodenlinien und der zweite Musterungsvorgang, der oben unter Bezugnahme auf die 3 und 4 beschrieben worden ist, schneidet das Gateelektrodenlinienmuster der ersten Maskenschicht 126 zur Bildung der Gateenden.
  • Ein Überätzen kann, wie in 4A gezeigt ist, während des Musters der Gatelinienenden ausgeführt werden. Bei einem Ausführungsbeispiel führt das Überätzen zu Vertiefungen 430, die in der Gateelektrodenschicht 120 ausgebildet ist. Bei einem Ausführungsbeispiel beträgt die Tiefe T der Gateelektrodenschicht 120 von etwa 0 bis 200 nm (0 bis etwa 2.000 Angström). Die Vertiefung 430, die während des Ätzens der Gateelektroden gebildet wird, ist größer als eine Vertiefung, die während des Ätzens zum Bilden der Gatelinien (siehe 1 und 2) gebildet werden kann. Diese Vertiefung 430 in dem Gateendbereich wird die Bildung von Kerben in den Gateenden in nachfolgenden Prozessschritten, die weiter unten beschrieben werden, unterstützen.
  • Es ist zu beachten, dass die zweite strukturierte Maske 232, d. h., die photoresistive Maske, in den 4A4C lediglich zum Zwecke der Darstellung nicht wiedergegeben sind. Die Hartmaske, etwa eine erste Maskenschicht 126, schafft einen zusätzlichen Schutz für die darunter liegenden Bereiche während des Ätzvorgangs. Die zweite strukturierte Maske 232 kann nach dem Muster der ersten Maskenschicht 126 entfernt werden.
  • Es wird jetzt auf die 5A5C Bezug genommen. Die 5A und 5B sind Querschnittsansichten entlang der Linie A-A bzw. B-B von 5C. Dargestellt sind die Ergebnisse eines zweiten Ätzvorgangs zum Vervollständigen des Ätzens der Gateelektrodenschicht 120 und zum Bilden von Kerben 558 in den Gateelektrodenlinienenden, wodurch Gateelektroden 432 in Übereinstimmung mit einem Ausführungsbeispiel gebildet werden. Die Gateelektrodenschicht 120 wird strukturiert unter Verwendung der strukturierten ersten Maskenschicht 126 (siehe 4A4C) als auch eine Ätzmaske. Wie in 5B gezeigt ist, sind die Profile der Seiten der Gateelektroden 432 relativ linear (mit Prozessvariationen). Im Gegensatz dazu erlauben bei einem Ausführungsbeispiel (siehe 4A) die Vertiefungen 430, die in der Gateelektrodenschicht 120 gebildet sind (siehe 4A), die Bildung von Kerben 558 in den Enden der Gateelektroden 432, wie in 5A dargestellt. Eine solche Anordnung, wie diese in den 5A5C dargestellt ist, ermöglicht eine bessere Steuerung. Der Abstand zwischen benachbarten Gateenden kann, beispielsweise, wie in 5C als Abstand gezeigt ist, unter Reduzierung des Risikos einer Brückenbildung zwischen den Gateenden und der Gateleckage verringert und gesteuert werden.
  • Die 5A und 5B zeigen weiter ein Zwischenschichtdielektrikum (ILD) 560, das über den Gateelektroden 432 und den Kerben 558 ausgebildet ist. Bei einem Ausführungsbeispiel ist ILD 560 auf einem Oxid, wie Phosphorsiliziumglas (PSG), Borsiliziumglas (BSG), mit Bor dotiertem Phosphorsiliziumglas (BPSG), TEOS oder dergleichen gebildet.
  • 6 ist eine vergrößerte Ansicht des beabsichtigten Bereichs oder der Kerbe an den Enden der Gateelektrode 432, gezeigt in 5A. Es ist zu beachten, dass 6 die Maske 126 zeigt, die auf der Gateelektrode 432 verbleibt. Die Maske 126 kann optional vor der Bildung der ILD 560 entfernt werden, wie dies in 5A gezeigt ist. Die Kerbe 558 hat eine Höhe H1, die bei einem Ausführungsbeispiel größer als Null und kleiner als 2.000 nm ist. Bei diesem Ausführungsbeispiel erstreckt sich die Elektrode 432 hinter die Finne 112 um einen ersten Abstand D1 und die Kerbe 558 wird von der Finne 112 um einen zweiten Abstand D2 versetzt. Bei dieser Ausbildung ist das Verhältnis von D1:D2 größer als 1. Der zweite Abstand D2 kann größer als Null und geringer als der erste Abstand sein, wodurch ein Kontakt zur Finne 112 geschaffen wird, jedoch eine Reduzierung der Brückenbildung erreicht wird. Eine Ausbildung wie diese schafft eine größere Distanz zwischen den Gateelektrodenlinienenden, wodurch das Prozessfenster vergrößert wird und die Leckage verringert wird. Zusätzlich können Konfigurationen wie diese auf größere Prozessfenster für das Füllen des Gatespalts mit hohem h-Wert schaffen. Die Kerbenbereiche schaffen weiter einen kleineren Abstand zwischen den Linienenden, während ein ausreichendes Hinterfüllen mit einem dielektrischen Material ermöglicht wird, wie dies unten in weiteren Einzelheiten beschrieben werden wird.
  • Die 7A7F zeigen verschiedene Formen, die zum Bilden der Kerbe 558 verwendet werden können. Im Allgemeinen kann die gewünschte Form der Kerbe 558 durch Variieren der Prozessbedingungen erreicht werden, etwa dem Typ des Prozessgases, der Konzentration des Prozessgases, der Temperatur und des Drucks und dergleichen.
  • Es wird jetzt auf 7A Bezug genommen. Eine dreieckige Kerbe wird gebildet. Bei einem Ausführungsbeispiel wird die dreieckige Kerbe 558 gebildet unter Verwendung eines Trockenätzvorgangs unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 oder dergleichen und einem Trägergas, wie He, Ar oder dergleichen. Der Trockenätzprozess kann bei einer Temperatur von etwa 25°C bis etwa 80°C und bei einem Druck von etwa 0,133 Pa bis etwa 2,67 Pa (1 mTorr bis etwa 20 mTorr) ausgeführt werden.
  • 7B zeigt ein Ausführungsbeispiel, bei dem eine elliptisch geformte Kerbe gebildet wird. Bei einem Ausführungsbeispiel wird die elliptische Kerbe 558 durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 oder dergleichen und einem Trägergas, wie He, Ar oder dergleichen gebildet. Der Trockenätzvorgang kann bei einer Temperatur von etwa 25°C bis 80°C und bei einem Druck von etwa 0,4 Pa bis 2,67 Pa (3 mTorr bis 20 mTorr) ausgeführt werden.
  • 7C zeigt ein Ausführungsbeispiel, bei dem eine rechteckige Kerbe 558 unter Verwendung eines Trockenätzvorgangs unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und einem Trägergas, wie He, Ar oder dergleichen gebildet wird. Der Ätzvorgang kann ausgeführt werden bei einer Temperatur zwischen etwa 25°C bis etwa 80°C und einem Druck von etwa 0,13 Pa bis 2,67 Pa (1 mTorr bis 20 mTorr) ausgeführt werden.
  • 7D zeigt ein Ausführungsbeispiel, bei dem eine runde Kerbe 558 unter Verwendung eines Trockenätzvorgangs unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und einem Trägergas, wie He, Ar oder dergleichen gebildet wird. Der Ätzvorgang kann bei einer Temperatur zwischen etwa 25°C bis etwa 80°C und einem Druck von etwa 0,13 Pa bis 1,33 Pa (1 mTorr bis 10 mTorr) ausgeführt werden.
  • 7E zeigt ein Ausführungsbeispiel, bei dem eine rauhe oder leiterförmige Kerbe 558 gebildet wird unter Verwendung eines Trockenätzvorgangs unter Verwendung eines Prozessgases, wie CF4, CH2F2, SF6 und dergleichen und einem Trägergas, wie He, Ar oder dergleichen. Der Ätzvorgang kann bei einer Temperatur zwischen etwa 40°C bis 80°C und einem Druck von etwa 0,13 Pa bis 1,3 Pa (1 mTorr bis 10 mTorr) ausgeführt werden.
  • 7F zeigt ein Ausführungsbeispiel, bei dem eine wolkige oder weich geformte Kerbe gebildet wird. Bei einem Ausführungsbeispiel wird die wolkig oder weich geformte Kerbe unter Verwendung eines Trockenätzvorgangs unter Verwendung eines Prozessgases, wie CF4, SF6 und einem Trägergas, wie He, Ar oder dergleichen. Der Ätzvorgang kann ausgeführt werden bei einer Temperatur zwischen etwa 40°C bis 80°C und einem Druck von etwa 0,13 Pa bis 1,3 Pa (1 mTorr bis 10 mTorr).
  • Die 8A8F zeigen verschiedene Formen, die bei optionalen Ausführungsbeispielen zum Bilden einer Vertiefung in der darunterliegenden dielektrischen Schicht 114 verwendet werden können. Es wird angenommen, dass bei einigen Ausführungsbeispielen die Kerbe 558, die oben beschrieben ist, ein Spaltenfüllproblem zeigen kann, wenn versucht wird, die Kerbe 558 mit einem dielektrischen Material, wie der ILD Schicht 560 (siehe 5A) zu füllen. Bei diesen Ausführungsbeispielen ist es erwünscht, die Vertiefung, in der dielektrischen Schicht 114 zwischen den Enden der Gateelektroden 432 zu bilden. Die 8A8F zeigen verschiedene Formen die verwendet werden können zum Bilden einer Vertiefung 832 in der dielektrischen Schicht 114. Bei einem Ausführungsbeispiel hat die Vertiefung 832 eine Tiefe von etwa 0 bis 100 nm (0 bis 1.000 Angström).
  • Es ist zu beachten, dass die 8A8F die Kerben als dreieckig gebildete Kerbe darstellen, andere Formen der Kerben können verwendet werden, etwa solche, wie sie oben unter Bezugnahme auf die 7A7F beschrieben worden sind.
  • Bei einem Ausführungsbeispiel ist die Vertiefung 832 in der dielektrischen Schicht 114 auf den Bereich der Kerben und den Bereich nahe den Gateelektrodenlinienenden beschränkt. Bei diesem Ausführungsbeispiel können eine oder mehrere Masken (nicht gezeigt), etwa eine photoresistive Maske verwendet werden zum Schützen der anderen Bereiche der dielektrischen Schicht 114 unter Belichten der dielektrischen Schicht 114 nahe der Gateelektrodenlinienenden.
  • Es wird zunächst auf die 8A Bezug genommen, in der eine rechteckig geformte Vertiefung in der dielektrischen Schicht 114 gebildet wird. Bei einem Ausführungsbeispiel wird die rechteckig geformte Vertiefung durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und dergleichen oder einem Trägergas, wie He, Ar oder dergleichen gebildet. Der Trockenätzvorgang kann bei einer Temperatur von etwa 25°C bis etwa 80°C und bei einem Druck von etwa 0,13 Pa bis 2,67 Pa (1 mTorr bis 20 mTorr) ausgeführt werden.
  • 8B zeigt ein Ausführungsbeispiel, bei dem ein anisotroper Boden mit einer abgerundeten Vertiefung in der dielektrischen Schicht 114 gebildet wird. Bei einem Ausführungsbeispiel ist die abgerundete Vertiefung durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und dergleichen oder einem Trägergas, wie He, Ar oder dergleichen gebildet. Der Trockenätzvorgang kann bei einer Temperatur von etwa 25°C bis 80°C und bei einem Druck von etwa 0,13 Pa bis 2,67 Pa (1 mTorr bis 20 mTorr) ausgeführt werden.
  • 8C zeigt ein Ausführungsbeispiel, bei dem eine diamantförmige Vertiefung in der dielektrischen Schicht 114 gebildet wird. Bei einem Ausführungsbeispiel wird die rechteckig geformte Vertiefung durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und dergleichen oder einem Trägergas, wie He, Ar oder dergleichen gebildet. Der Trockenätzvorgang kann bei einer Temperatur von etwa 25°C bis 80°C und bei einem Druck von etwa 3 mTorr bis etwa 20 mTorr ausgeführt werden.
  • 8D zeigt ein Ausführungsbeispiel, bei dem eine trapezoide oder dreieckig geformte Vertiefung in der dielektrischen Schicht 114 gebildet wird. Bei einem Ausführungsbeispiel ist die trapezoide oder dreieckig geformte Vertiefung durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und dergleichen und einem Trägergas, wie He, Ar oder dergleichen gebildet. Der Trockenätzvorgang kann bei einer Temperatur von etwa 25°C bis 80°C und bei einem Druck von etwa 0,13 Pa bis 2,67 Pa (1 mTorr bis 20 mTorr) ausgeführt werden.
  • 8E zeigt ein Ausführungsbeispiel, bei der eine abgerundete anisotropeische Seitenwandvertiefung in der dielektrischen Schicht 114 gebildet wird. Bei einem Ausführungsbeispiel ist die Vertiefung mit einer abgerundeten Seitenwandung durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie CHF3, CF4, CH2F2, SF6, O2 und dergleichen und einem Trägergas, wie He, Ar oder dergleichen gebildet. Der Trockenätzvorgang kann bei einer Temperatur von etwa 40°C bis 80°C und bei einem Druck von etwa 0,13 Pa bis 1,33 Pa (1 mTorr bis 10 mTorr) ausgeführt werden.
  • 8F zeigt ein Ausführungsbeispiel, bei dem eine isotropisch geformte Vertiefung in der dielektrischen Schicht 114 ausgebildet ist. Bei einem Ausführungsbeispiel ist die trapezoide oder isotrop geformte Vertiefung durch einen Trockenätzvorgang unter Verwendung eines Prozessgases, wie HF, NH3, H2O oder dergleichen und einem Trägergas, wie N oder dergleichen gebildet. Der Trockenätzvorgang kann ausgeführt werden bei einer Temperatur von etwa 20°C bis etwa 300°C und bei ATM.
  • Danach können weitere Prozesse ausgeführt werden um das Bauteil zu vervollständigen. Beispielsweise kann die Gateelektrode dotiert werden, Abstandhalter können ausgebildet werden, Bereiche der Gateelektrode können siliziert werden, Zwischenschicht-dielektrische Schichten (ILD) und Zwischenmetall-dielektrische Schichten (IMD) können ausgebildet werden, Metallschichten können ausgebildet werden oder dergleichen.
  • 9 zeigt ein Flussdiagramm, das ein Verfahren zum Bilden eines FinFET in Übereinstimmung mit einem Ausführungsbeispiel wiedergibt. Der Prozess beginnt bei dem Schritt 902, bei dem ein Substrat mit Finnen, eine dielektrische Schicht zwischen benachbarten Finnen und eine Gateelektrodenschicht über den Finnen hat und die dielektrische Schicht vorgesehen wird, wie dies oben unter Bezugnahme auf die 1A1C diskutiert worden ist. In einem Schritt 906 wird eine Gateschicht strukturiert zum Bilden von Gateelektroden mit Kerben, die in den Linienenden gebildet sind, wie dies oben unter Bezugnahme auf die 2A7F beschrieben worden ist. Optional werden in dem Schritt 908 Vertiefungen in der dielektrischen Schicht unter den Vertiefungen gebildet, wie dies oben unter Bezugnahme auf die 8A8F beschrieben worden ist.
  • Bei einem Ausführungsbeispiel wird ein Verfahren der Bildung eines FinFET geschaffen. Das Verfahren weist das Bereitstellen eines Substrats mit Finnen, die sich von diesen erstrecken, und Bilden einer ersten dielektrischen Schicht zwischen benachbarten Finnen sowie Bilden einer Gateelektrodenschicht über den Finnen und der dielektrischen Schicht, auf. Die Gateelektrodenschicht wird strukturiert zum Schaffen einer Mehrzahl von Gateelektroden, wobei die Enden der Mehrzahl von Gateelektroden eine Kerbe entlang eines Bodenrandes haben. Die dielektrische Schicht unter der Kerbe kann vertieft werden.
  • Bei einem anderen Ausführungsbeispiel wird ein anderes Verfahren der Bildung eines FinFET geschaffen. Das Verfahren weist das Bereitstellen eines Substrats mit wenigstens zwei Finnen, die lateral ausgerichtet sind, Bilden einer ersten dielektrischen Schicht zwischen den Enden der beiden Finnen und Bilden einer ersten Gateelektrode über wenigstens einer ersten Finne und einer zweiten Gateelektrode über wenigstens einer zweiten Finne auf, so dass die Längsachsen der ersten Gateelektrode und der zweiten Gateelektrode miteinander ausgerichtet sind. Eine erste Kerbe wird in einem ersten Ende der ersten Gateelektrode, die zu der zweiten Gateelektrode weist und eine zweite Kerbe wird in einem Ende der zweiten Gateelektrode, die zu der ersten Gateelektrode weist, gebildet. Die dielektrische Schicht unter den Kerben kann vertieft sein.
  • Bei einem anderen Ausführungsbeispiel wird ein FinFET geschaffen. Der FinFET weist eine Finne auf, die sich von einem Substrat erstreckt, und eine dielektrische Schicht benachbart zu der Finne. Eine Gateelektrode ist über der Finne und der dielektrischen Schicht angeordnet, wobei ein Ende der Gateelektrode eine Kerbe an einer Schnittstelle der Gateelektrode und der dielektrischen Schicht hat. Die dielektrische Schicht unter der Kerbe kann vertieft sein.

Claims (14)

  1. Verfahren zum Bilden eines Fin-Feldeffekt-Transistors (FinFET) mit den folgenden Schritten: Bereitstellen eines Substrats mit wenigstens zwei Finnen, die lateral ausgerichtet sind; Bilden einer ersten dielektrischen Schicht zwischen den Enden von zwei Finnen; Bilden einer ersten Gateelektrode über wenigstens einer erste Finne und einer zweiten Gateelektrode über wenigstens einer zweiten Finne, wobei die Längsachsen der ersten Gateelektrode und der zweiten Gateelektrode miteinander ausgerichtet sind; Bilden einer ersten Kerbe in einem Ende der ersten Gateelektrode, die zu der zweiten Gateelektrode weist; und Bilden einer zweiten Kerbe in einem Ende der zweiten Gateelektrode, die zu der ersten Gateelektrode weist, wobei die erste Kerbe und die zweite Kerbe am Bodenrand entlang der Schnittstelle der Gateelektroden und der dielektrischen Schicht gebildet werden.
  2. Das Verfahren nach Anspruch 1, das weiter das Bilden einer zweiten dielektrischen Schicht zwischen der ersten Gateelektrode und der zweiten Gateelektrode umfasst.
  3. Das Verfahren nach Anspruch 2, wobei sich die zweite dielektrische Schicht in die erste Kerbe und die zweite Kerbe erstreckt.
  4. Das Verfahren nach einem der Ansprüche 1 bis 3, das weiter das Bilden einer Vertiefung in der ersten dielektrischen Schicht umfasst.
  5. Das Verfahren nach Anspruch 4, wobei sich die Vertiefung von einem Ort unter der ersten Kerbe bis zu einem Ort unter der zweiten Kerbe erstreckt.
  6. Das Verfahren nach Anspruch 4 oder 5, wobei die Vertiefung eine Tiefe von weniger als ungefähr 200 nm hat.
  7. Das Verfahren nach einem der Ansprüche 1 bis 6, wobei das Bilder der ersten Kerbe und das Bilden der zweiten Kerbe wenigstens teilweise unter Verwendung eines Trockenätzprozesses ausgeführt wird.
  8. Das Verfahren nach Anspruch 7, wobei der Trockenätzprozess CHF3, CF4, CH2F2, SF6 oder O2 als Prozessgas verwendet.
  9. Verfahren nach Anspruch 4, wobei das Bilden einer Vertiefung wenigstens teilweise unter Verwendung eines Trockenätzens mit CHF3, CH3F, CF4, CH2F2, SF6 oder O2 als Prozessgas ausgeführt wird.
  10. Verfahren nach Anspruch 4, wobei das Bilden einer Vertiefung wenigstens teilweise unter Verwendung eines Trockenätzens mit HF, NH3 oder H2O als Prozessgas und N als Trägergas ausgeführt wird.
  11. Ein Fin-Feldeffekt-Transistor (FinFET) mit: einem Substrat und wenigstens zwei Finnen, die sich von dem Substrat erstrecken; einer dielektrischen Schicht, die zwischen den Enden von zwei Finnen gebildet ist; und einer ersten Gateelektrode, die über wenigstens einer ersten Finne ausgebildet ist, und einer zweiten Gateelektrode, die über wenigstens einer zweiten Finne ausgebildet ist, wobei die Längsachsen der ersten Gateelektrode und der zweiten Gateelektrode miteinander ausgerichtet sind, wobei eine erste Kerbe in einem Ende der ersten Gateelektrode, die zu der zweiten Gateelektrode weist, und eine zweite Kerbe in einem Ende der zweiten Gateelektrode, die zu der ersten Gateelektrode weist, gebildet ist und wobei die erste Kerbe und die zweite Kerbe am Bodenrand entlang einer Schnittstelle der Gateelektroden und der dielektrischen Schicht gebildet ist.
  12. Der FinFET von Anspruch 11, wobei die Höhe der Kerbe geringer als 2.000 nm ist.
  13. Der FinFET nach Anspruch 11 oder 12, weiter mit einer Vertiefung in der dielektrischen Schicht unter der Kerbe.
  14. Der FinFET nach Anspruch 13, wobei die Vertiefungen eine Tiefe von weniger als ungefähr 200 nm hat.
DE201310106621 2013-03-11 2013-06-25 Finnenform für Feldeffekttransistoren und Verfahren zu deren Bildung Active DE102013106621B3 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361776515P 2013-03-11 2013-03-11
US61/776,515 2013-03-11
US201361788345P 2013-03-15 2013-03-15
US61/788,345 2013-03-15
US13/866,849 2013-04-19
US13/866,849 US9041125B2 (en) 2013-03-11 2013-04-19 Fin shape for fin field-effect transistors and method of forming

Publications (1)

Publication Number Publication Date
DE102013106621B3 true DE102013106621B3 (de) 2014-05-22

Family

ID=50625868

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201310106621 Active DE102013106621B3 (de) 2013-03-11 2013-06-25 Finnenform für Feldeffekttransistoren und Verfahren zu deren Bildung

Country Status (4)

Country Link
US (2) US9041125B2 (de)
KR (1) KR101695509B1 (de)
CN (1) CN104051266B (de)
DE (1) DE102013106621B3 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015111339A1 (de) * 2015-03-20 2016-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und verfahren zum herstellen von dieser

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
KR102290793B1 (ko) * 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
KR102287398B1 (ko) * 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US20160284836A1 (en) * 2015-03-25 2016-09-29 Qualcomm Incorporated System, apparatus, and method for n/p tuning in a fin-fet
KR102318393B1 (ko) 2015-03-27 2021-10-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US10269802B2 (en) 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9748394B2 (en) * 2015-05-20 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a multi-portioned gate stack
US9559205B2 (en) 2015-05-29 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
KR101785803B1 (ko) * 2015-05-29 2017-10-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조체의 형성 방법
KR102502885B1 (ko) * 2015-10-06 2023-02-23 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10096712B2 (en) 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9773879B2 (en) * 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US10797047B2 (en) 2015-12-26 2020-10-06 Intel Corporation Gate isolation in non-planar transistors
US9773912B2 (en) * 2016-01-28 2017-09-26 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
CN105702737B (zh) * 2016-02-05 2019-01-18 中国科学院微电子研究所 连接有负电容的多栅FinFET及其制造方法及电子设备
US9972495B1 (en) * 2016-12-22 2018-05-15 Globalfoundries Inc. Low-K dielectric spacer for a gate cut
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
KR102330087B1 (ko) * 2017-04-03 2021-11-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
CN109585293B (zh) * 2017-09-29 2021-12-24 台湾积体电路制造股份有限公司 切割金属工艺中的基脚去除
US10163640B1 (en) 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate isolation plugs structure and method
US10468527B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR102544153B1 (ko) * 2017-12-18 2023-06-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10504798B2 (en) * 2018-02-15 2019-12-10 Globalfoundries Inc. Gate cut in replacement metal gate process
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10522546B2 (en) * 2018-04-20 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd FinFET devices with dummy fins having multiple dielectric layers
US11158545B2 (en) * 2018-09-25 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming isolation features in metal gates
DE102019101555B4 (de) * 2018-09-28 2023-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Metall-gate-schneideform
US11024549B2 (en) * 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20200138527A (ko) 2019-05-30 2020-12-10 삼성전자주식회사 게이트 구조물 및 분리 구조물을 포함하는 반도체 소자
US11854688B2 (en) * 2020-02-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532628B2 (en) 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20220415890A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Integrated circuit structures having metal gates with tapered plugs

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060138553A1 (en) * 2004-09-30 2006-06-29 Brask Justin K Nonplanar transistors with metal gate electrodes
US20070241414A1 (en) * 2004-06-10 2007-10-18 Mitsuru Narihiro Semiconductor Device and Manufacturing Process Therefor
US20090134454A1 (en) * 2005-06-07 2009-05-28 Nec Corporation Fin-type field effect transistor, semiconductor device and manufacturing process therefor
US20100203717A1 (en) * 2009-02-12 2010-08-12 International Business Machines Corporation Cut first methodology for double exposure double etch integration

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04368182A (ja) 1991-06-17 1992-12-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
WO2001071807A1 (fr) 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
US6864163B1 (en) 2002-10-30 2005-03-08 Advanced Micro Devices, Inc. Fabrication of dual work-function metal gate structure for complementary field effect transistors
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US7129182B2 (en) 2003-11-06 2006-10-31 Intel Corporation Method for etching a thin metal layer
JPWO2006006438A1 (ja) * 2004-07-12 2008-04-24 日本電気株式会社 半導体装置及びその製造方法
US7605449B2 (en) * 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
JP2008300384A (ja) 2007-05-29 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
DE102007041207B4 (de) 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
US7833887B2 (en) * 2008-06-24 2010-11-16 Intel Corporation Notched-base spacer profile for non-planar transistors
KR101045089B1 (ko) 2008-08-22 2011-06-29 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
KR101850703B1 (ko) 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US9991375B2 (en) 2012-05-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a semiconductor device
US8803241B2 (en) 2012-06-29 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate electrode of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070241414A1 (en) * 2004-06-10 2007-10-18 Mitsuru Narihiro Semiconductor Device and Manufacturing Process Therefor
US20060138553A1 (en) * 2004-09-30 2006-06-29 Brask Justin K Nonplanar transistors with metal gate electrodes
US20090134454A1 (en) * 2005-06-07 2009-05-28 Nec Corporation Fin-type field effect transistor, semiconductor device and manufacturing process therefor
US20100203717A1 (en) * 2009-02-12 2010-08-12 International Business Machines Corporation Cut first methodology for double exposure double etch integration

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015111339A1 (de) * 2015-03-20 2016-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und verfahren zum herstellen von dieser
US9461043B1 (en) 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9786564B2 (en) 2015-03-20 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10157795B2 (en) 2015-03-20 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10629491B2 (en) 2015-03-20 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing method
DE102015111339B4 (de) 2015-03-20 2021-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und verfahren zum herstellen von dieser

Also Published As

Publication number Publication date
KR20140111577A (ko) 2014-09-19
KR101695509B1 (ko) 2017-01-11
CN104051266A (zh) 2014-09-17
CN104051266B (zh) 2017-09-29
US9041125B2 (en) 2015-05-26
US20150228544A1 (en) 2015-08-13
US9460968B2 (en) 2016-10-04
US20140252486A1 (en) 2014-09-11

Similar Documents

Publication Publication Date Title
DE102013106621B3 (de) Finnenform für Feldeffekttransistoren und Verfahren zu deren Bildung
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE102015108690B4 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102012105737B4 (de) FinFET-Bauelement und Verfahren zu dessen Herstellung
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102015113184A1 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE112011105702T5 (de) Source-/Drain-Kontakte für nicht planare Transistoren
DE102019112394B4 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102018108163B4 (de) Auf high-k-dielektrikumsschichten mit verschiedenen materialien ausgebildete selbstschützende schicht
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102017120267A1 (de) Verfahren zur herstellung von source/drain-kontakt in halbleitervorrichtungen
DE102019126285B4 (de) Steuerung von Schwellenspannungen durch Blockierschichten
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102019009394B4 (de) Isolation von source-drain-regionen zweier muti-gate-transistoren in dichter anordnung
DE102019121750A1 (de) Metallgatestrukturschneidverfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021106455A1 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021280000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R020 Patent grant now final

Effective date: 20150224