DE102017117795B4 - Fets und verfahren zu deren herstellung - Google Patents

Fets und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102017117795B4
DE102017117795B4 DE102017117795.7A DE102017117795A DE102017117795B4 DE 102017117795 B4 DE102017117795 B4 DE 102017117795B4 DE 102017117795 A DE102017117795 A DE 102017117795A DE 102017117795 B4 DE102017117795 B4 DE 102017117795B4
Authority
DE
Germany
Prior art keywords
epitaxial layer
fin
source
fins
gate structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017117795.7A
Other languages
English (en)
Other versions
DE102017117795A1 (de
Inventor
Tzu-Ching Lin
Chien-I Kuo
Wei Te Chiang
Wei Hao Lu
Li-Li Su
Chii-Horng Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017117795A1 publication Critical patent/DE102017117795A1/de
Application granted granted Critical
Publication of DE102017117795B4 publication Critical patent/DE102017117795B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Verfahren mit den folgenden Schritten:Herstellen eines erhabenen Teils eines Substrats (32, 50);Herstellen von Finnen (36, 60, 64) auf dem erhabenen Teil des Substrats (32, 50);Herstellen eines Trennbereichs (34, 62), der die Finnen (36, 60, 64) umschließt, wobei sich ein erster Teil des Trennbereichs (34, 62) auf einer Oberseite des erhabenen Teils des Substrats (32, 50) zwischen benachbarten Finnen (36, 60, 64) befindet;Herstellen einer Gate-Struktur (40) über den Finnen (36, 60, 64); undHerstellen von Source-/Drain-Bereichen (42, 44) auf entgegengesetzten Seiten der Gate-Struktur (40), wobei das Herstellen der Source-/Drain-Bereiche (42, 44) die folgenden Schritte umfasst:epitaxiales Aufwachsen einer ersten Epitaxialschicht (80) auf der Finne (36, 60, 64), die an die Gate-Struktur angrenzt,Rückätzen der ersten Epitaxialschicht (80), wobei das Rückätzen ein Ätzen mit SiH4und HCl umfasst, wobei nach dem epitaxialen Aufwachsen der ersten Epitaxialschicht (80) auf der Finne (36, 60, 64), die an die Gate-Struktur angrenzt, und vor dem Rückätzen der ersten Epitaxialschicht (80) eine Oberseite der ersten Epitaxialschicht (80) erste Abschrägungen mit einer (111)-Kristallorientierung hat,epitaxiales Aufwachsen einer zweiten Epitaxialschicht (86) auf der geätzten ersten Epitaxialschicht (80), undRückätzen der zweiten Epitaxialschicht (86), wobei die geätzte zweite Epitaxialschicht (86) eine nicht-abgeschrägte Oberseite (86A, 86A') hat und die geätzte erste Epitaxialschicht (80) und die geätzte zweite Epitaxialschicht (86) Source-/Drain-Bereiche bilden.

Description

  • Hintergrund
  • Da die Halbleiterindustrie in dem Streben nach höherer Bauelementdichte, höherer Leistung und niedrigeren Kosten bis in den Bereich der Nanometer-Technologie-Prozessknoten vorgedrungen ist, haben Herausforderungen durch Herstellungs- und Entwurfsprobleme zur Entwicklung von dreidimensionalen Entwürfen, wie etwa einem Feldeffekttransistor (FinFET), geführt. Ein typischer FinFET wird mit einer dünnen vertikalen „Finne“ (oder Finnenstruktur) hergestellt, die von einem Substrat abgeht und zum Beispiel durch Wegätzen eines Teils einer Siliziumschicht des Substrats hergestellt sind. In dieser vertikalen Finne wird der Kanal des FinFET hergestellt. Über der Finne ist ein Gate vorgesehen (das z. B. die Finne umschließt). Wenn sich ein Gate auf beiden Seiten des Kanals befindet, ist eine Gate-Steuerung des Kanals von beiden Seiten möglich. Es gibt jedoch Schwierigkeiten bei der Implementierung solcher Strukturelemente und Prozesse bei der Halbleiterfertigung.
  • US 2014/0183605 A1 offenbart ein Verfahren zum Herstellen eines Halbleiterbauelements. US 2016/0099150 A1 offenbart ein Verfahren zum Herstellen eines Feldeffekttransistors. DE 10 2016 102 679 A1 beschreibt Feldeffekttransistoren und deren Herstellung.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist ein Beispiel für einen Finnen-Feldeffekttransistor (FinFET) in einer dreidimensionalen Darstellung.
    • Die 2 bis 6, 7A bis 7C, 8A bis 8C und 9 bis 15 sind dreidimensionale Darstellungen und Schnittansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen.
    • 16 ist eine Schnittansicht einer Zwischenstufe bei der Herstellung von FinFETs gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die Erfindung wird definiert durch den unabhängigen Patentanspruch 1, welcher ein Verfahren definiert, durch den unabhängigen Patentanspruch 12, welcher ein Verfahren definiert, und den unabhängigen Patentanspruch 17, welcher eine Struktur definiert. Bevorzugte Ausführungsformen der Erfindung werden durch die abhängigen Patentansprüche, die Beschreibung und die Figuren definiert. Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Ebenso können hier Begriffe wie „Vorderseite“ und „Rückseite“ zum einfacheren Identifizieren verschiedener Komponenten verwendet werden, und sie können angeben, dass sich diese Komponenten zum Beispiel auf gegenüberliegenden Seiten einer anderen Komponente befinden. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen Ausführungsformen werden Finnen-Feldeffekttransistoren (FinFETs) und Verfahren zu deren Herstellung bereitgestellt. Es werden Zwischenstufen bei der der Herstellung von FinFETs erläutert. Einige Ausführungsformen, die hier erörtert werden, werden in Zusammenhang mit FinFETs erörtert, die mittels eines Gate-zuerst-Prozesses hergestellt werden. Bei anderen Ausführungsformen kann ein Gate-zuletzt-Prozess (der gelegentlich auch als Gate-Ersetzungsprozess bezeichnet wird) verwendet werden. Es werden einige Abwandlungen der Ausführungsformen erörtert. Ein Fachmann dürfte ohne Weiteres weitere Modifikationen erkennen, die vorgenommen werden können und als innerhalb des Schutzumfangs weiterer Ausführungsformen liegend in Erwägung gezogen werden. Verfahrens-Ausführungsformen werden hier zwar in einer bestimmten Reihenfolge erörtert, aber verschiedene andere Verfahrens-Ausführungsformen können in jeder logischen Reihenfolge ausgeführt werden und können weniger oder mehr Schritte als die umfassen, die hier beschrieben werden.
  • Bevor besonders auf die dargestellten Ausführungsformen eingegangen wird, wird allgemein auf bestimmte vorteilhafte Merkmale und Aspekte der vorliegenden beschriebenen Ausführungsformen eingegangen. Die vorliegende Erfindung betrifft allgemein ein Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung, um einen Prozessablauf zum Herstellen einer nicht-abgeschrägten Oberseite für einen epitaxialen Source/Drain in einem FinFET bereitzustellen, um das Bauelement zu verbessern. Darüber hinaus füllt der epitaxiale Source/Drain dieser nicht-abgeschrägten Oberseite den Bereich innerhalb der Finnen in der Nähe der Oberseite der Finnen mit dem epitaxialen Source-/Drain-Material, wodurch der Kontakt-Aufsetzbereich vergrößert wird und der Kontaktwiderstand gegenüber dem Source-/Drain-Bereich verringert werden kann.
  • Der Prozessablauf umfasst einen ersten Abscheidungsprozess, an den sich ein erster Rückätzprozess anschließt, an den sich wiederum ein zweiter Abscheidungsprozess und ein zweiter Rückätzprozess anschließen. Für die Abscheidungsprozesse können jeweils Silan(SiH4)- und Phosphin(PH3)-Vorläufer zum Aufwachsen zum Beispiel von Siliziumphosphor(SiP)-Source-/Drain-Bereichen verwendet werden. Die Rückätzprozesse werden jeweils in Umgebungen mit einer hohen Temperatur und einem niedrigen Druck durchgeführt, und es können SiH4 und Chlorwasserstoffsäure (HCl) als Vorläufer verwendet werden. Bei einigen Ausführungsformen wird für die Rückätzprozesse kein SiH4 als Vorläufer verwendet. Die hohe Temperatur für die Rückätzprozesse kann in dem Bereich von etwa 650 °C bis etwa 800 °C liegen. Der niedrige Druck für die Rückätzprozesse kann in dem Bereich von 133 Pascal bis etwa 6666 Pascal liegen. Dadurch, dass die Umgebung für die Rückätzprozesse eine hohe Temperatur und einen niedrigen Druck hat, kann die Form des Source/Drain so gesteuert werden, dass sie keine abgeschrägte Oberseite hat, da das SiH4 die Oberseiten [(100)-Orientierung] passiviert, während die HCl die Seiten [(110)-Orientierung] der Source-/Drain-Bereiche mit etwa der 1- bis 20-fachen, z. B. etwa 4-fachen, Rate der Oberseiten der Source-/Drain-Bereiche ätzt. Eine Abschrägung ist eine Oberfläche, die nicht parallel und nicht senkrecht zu einer Oberseite des Substrats ist. Bei einigen Ausführungsformen kann der beschriebene Prozessablauf bei der Herstellung von SRAM-Bauelementen (SRAM: statischer Direktzugriffsspeicher) verwendet werden.
  • 1 zeigt ein Beispiel eines FinFET 30 in einer dreidimensionalen Darstellung. Der FinFET 30 weist eine Finne 36 auf einem Substrat 32 auf. Das Substrat 32 weist Trennbereiche 34 auf, und die Finne 36 ragt zwischen benachbarten Trennbereichen 34 heraus. Ein Gate-Dielektrikum 38 verläuft entlang Seitenwänden und über einer Oberseite der Finne 36, und eine Gate-Elektrode 40 ist über dem Gate-Dielektrikum 38 angeordnet. Source-/Drain-Bereiche 42 und 44 sind auf gegenüberliegenden Seiten der Finne 36 in Bezug zu dem Gate-Dielektrikum 38 und der Gate-Elektrode 40 angeordnet. 1 zeigt weiterhin Referenz-Querschnitte, die in späteren Figuren verwendet werden. Der Querschnitt B - B erstreckt sich über einen Kanal, das Gate-Dielektrikum 38 und die Gate-Elektrode 40 des FinFET 30. Der Querschnitt C - C ist parallel zu dem Querschnitt B - B und erstreckt sich über den Source-/Drain-Bereich 42. Spätere Figuren beziehen sich der Übersichtlichkeit halber auf diese Referenz-Querschnitte.
  • Die 2 bis 15 sind dreidimensionale und Schnittansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. Die 2 bis 15 zeigen einen FinFET, der dem FinFET 30 von 1 ähnlich ist, mit der Ausnahme, dass er mehrere Finnen auf einer Kronenstruktur hat. Die 2 bis 6 zeigen den Querschnitt B - B. Bei den 7A bis 8C sind Figuren, die mit der Bezeichnung „A“ enden, dreidimensionale Darstellungen; Figuren, die mit der Bezeichnung „B“ enden, zeigen den Querschnitt B - B; und Figuren, die mit der Bezeichnung „C“ enden, zeigen den Querschnitt C - C. Die 9 bis 15 zeigen den Querschnitt C - C.
  • 2 zeigt ein Substrat 50. Das Substrat 50 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein SOI-Substrat (SOI: Halbleiter auf Isolator) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie etwa ein Siliziumwafer. In der Regel weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial auf, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine BOX-Schicht (BOX: vergrabenes Oxid), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht ist auf einem Substrat, normalerweise einem Silizium- oder Glassubstrat, vorgesehen. Es können auch andere Substrate, wie etwa ein Mehrschicht- oder Gradient-Substrat, verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon.
  • Das Substrat 50 kann integrierte Schaltkreiselemente (nicht dargestellt) aufweisen. Wie ein Fachmann erkennen dürfte, können in und/oder auf dem Substrat 50 viele verschiedene Schaltkreiselemente, wie etwa Transistoren, Dioden, Kondensatoren, Widerstände und dergleichen, oder Kombinationen davon hergestellt werden, um die Struktur- und Funktions-Anforderungen für den Entwurf des FinFET zu erfüllen. Die integrierten Schaltkreiselemente können mit geeigneten Verfahren hergestellt werden.
  • 2 zeigt weiterhin die Herstellung einer Maskenschicht 52 über dem Substrat 50 und die Strukturierung des Substrats 50 unter Verwendung der Maskenschicht 52 zum Herstellen eines strukturierten Teils 54 des Substrats 50. Bei einigen Ausführungsformen ist die Maskenschicht 52 eine Hartmaske und kann nachstehend auch als Hartmaske 52 bezeichnet werden. Die Hartmaske 52 kann aus Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder einer Kombination davon bestehen.
  • Bei einigen Ausführungsformen kann der strukturierte Teil 54 des Substrats 50 durch Ätzen des Substrats 50, das sich außerhalb der strukturierten Maskenschicht 52 befindet, hergestellt werden. Die Ätzung kann mit einem geeigneten Ätzverfahren durchgeführt werden, wie etwa reaktives Ionenätzen (RIE), Neutralstrahlätzung (NBE) oder dergleichen oder eine Kombination davon. Die Ätzung kann anisotrop sein.
  • 3 zeigt die Herstellung einer Kronenstruktur 58 und von Halbleiter-Streifen 60 über der Kronenstruktur 58. Eine Maskenschicht 56 kann über dem strukturierten Teil 54 des Substrats 50 hergestellt werden und kann strukturiert werden. Bei einigen Ausführungsformen ist die Maskenschicht 56 eine Hartmaske und kann nachstehend auch als Hartmaske 56 bezeichnet werden. Die Hartmaske 56 kann aus Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder einer Kombination davon bestehen.
  • Bei einigen Ausführungsformen können die Kronenstruktur 58 und die Halbleiter-Streifen 60 durch Ätzen von Gräben in der Hartmaske 56 und dem strukturierten Teil 54 des Substrats 50 hergestellt werden. Die Halbleiter-Streifen 60 können auch als Halbleiterfinnen 60 bezeichnet werden. Die Ätzung kann mit einem geeigneten Ätzverfahren durchgeführt werden, wie etwa RIE, NBE oder dergleichen, oder einer Kombination davon. Die Ätzung kann anisotrop sein.
  • 4 zeigt die Abscheidung eines Isoliermaterials zwischen benachbarten Halbleiter-Streifen 60, um Trennbereiche 62 herzustellen. Das Isoliermaterial kann ein Oxid, wie etwa Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon sein und kann durch chemische Aufdampfung mit einem Plasma hoher Dichte (HDPCVD), fließfähige chemische Aufdampfung (FCVD) (z. B. eine Material-Abscheidung auf CVD-Basis in einem Remote-Plasma-System und Nachhärtung zum Umwandeln in ein anderes Material, wie etwa ein Oxid) oder dergleichen oder durch eine Kombination davon hergestellt werden. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren hergestellt werden. Bei der dargestellten Ausführungsform ist das Isoliermaterial Siliziumoxid, das mittels FCVD abgeschieden wird. Nach der Abscheidung des Isoliermaterials kann ein Glühprozess durchgeführt werden. Weiterhin können in 4 mit einem Planarisierungsprozess, wie etwa einer chemisch-mechanischen Polierung (CMP), überschüssiges Isoliermaterial (und falls vorhanden, die Hartmaske 56) entfernt werden und Oberseiten der Trennbereiche 62 und Oberseiten der Halbleiter-Streifen 60 hergestellt werden, die bei Prozess-Änderungen koplanar sind.
  • 5 zeigt die Aussparung der Trennbereiche 62, wie etwa zur Herstellung von STI-Bereichen. Die Trennbereiche 62 werden so ausgespart, dass die oberen Teile der Halbleiter-Streifen 60 zwischen benachbarten Trennbereichen 62 herausragen und Halbleiterfinnen 64 bilden. Wie gezeigt ist, bleiben einige Teile der Trennbereiche 62 auf der Kronenstruktur 58 zwischen den benachbarten Halbleiterfinnen 64 zurück. Darüber hinaus können die Oberseiten der Trennbereiche 62 eine ebene Oberfläche, wie gezeigt ist, eine konvexe Oberfläche, eine konkave Oberfläche (z. B. einwärts gekrümmt) oder eine Kombination davon haben. Die Oberseiten der Trennbereiche 62 können durch eine entsprechende Ätzung eben, konvex und/oder konkav hergestellt werden. Die Trennbereiche 62 können mit einem geeigneten Ätzprozess ausgespart werden, wie etwa einem Ätzprozess, der für das Material der Trennbereiche 62 selektiv ist. Es kann zum Beispiel eine chemische Oxidentfernung unter Verwendung einer CERTAS®-Ätzung oder eines SICONI-Tools von Applied Materials oder mittels verdünnter Fluorwasserstoff(dHF)säure durchgeführt werden.
  • Die Finnen können mit einem geeigneten Verfahren strukturiert werden. Die Finnen können zum Beispiel unter Verwendung eines oder mehrerer fotolithografischer Prozesse strukturiert werden, die Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse umfassen. In der Regel vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierende Prozesse, sodass Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die andernfalls unter Verwendung eines einzelnen Direktbelichtungsprozesses erhalten werden können. Zum Beispiel wird bei einer Ausführungsform eine Opferschicht über einem Substrat hergestellt und wird unter Verwendung eines fotolithografischen Prozesses strukturiert. Entlang der strukturierten Opferschicht werden Abstandshalter unter Verwendung eines selbstjustierenden Prozesses hergestellt. Anschließend wird die Opferschicht entfernt, und die verbleibenden Abstandshalter können dann zum Strukturieren der Finnen verwendet werden.
  • 5 zeigt die Herstellung einer Gate-Struktur über den Halbleiterfinnen 64. Auf den Halbleiterfinnen 64 und den Trennbereichen 62 wird eine dielektrische Schicht (nicht dargestellt) hergestellt. Die dielektrische Schicht kann zum Beispiel Siliziumoxid, Siliziumnitrid, Mehrfachschichten davon oder dergleichen umfassen und kann mit geeigneten Verfahren abgeschieden oder thermisch aufgewachsen werden. Bei einigen Ausführungsformen kann die dielektrische Schicht ein dielektrisches High-k-Material sein, und bei diesen Ausführungsformen kann die dielektrische Schicht einen k-Wert haben, der größer als etwa 7,0 ist, und sie kann ein Metalloxid oder ein Silicat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb, Mehrfachschichten davon oder Kombinationen davon umfassen. Die Herstellungsverfahren für die dielektrische Schicht können Molekularstrahl-Abscheidung (molecular-beam deposition; MBD), Atomlagenabscheidung (ALD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen umfassen.
  • Über der dielektrischen Schicht wird eine Gate-Schicht (nicht dargestellt) hergestellt, und über der Gate-Schicht wird eine Maskenschicht (nicht dargestellt) hergestellt. Die Gate-Schicht kann über der dielektrischen Schicht abgeschieden werden und anschließend planarisiert werden, wie etwa durch CMP. Die Maskenschicht kann über der Gate-Schicht abgeschieden werden. Die Gate-Schicht kann zum Beispiel aus Polysilizium bestehen, aber es können auch andere Materialien verwendet werden. Bei einigen Ausführungsformen kann die Gate-Schicht ein metallhaltiges Material aufweisen, wie etwa TiN, TaN, TaC, Co, Ru, Al, Kombinationen davon oder Mehrfachschichten davon. Die Maskenschicht kann zum Beispiel aus Siliziumnitrid oder dergleichen bestehen.
  • Nachdem die Schichten hergestellt worden sind, kann die Maskenschicht mittels geeigneter fotolithografischer und Ätzverfahren strukturiert werden, um eine Maske 70 herzustellen. Die Struktur der Maske 70 wird dann mit einem geeigneten Ätzverfahren auf die Gate-Schicht und die dielektrische Schicht übertragen, um ein Gate 68 und ein Gate-Dielektrikum 66 herzustellen. Das Gate 68 und das Gate-Dielektrikum 66 bedecken jeweilige Kanalbereiche der Halbleiterfinnen 64. Die Längsrichtung des Gate 68 kann bei einer Änderung und Justierung des Prozesses senkrecht zu der Längsrichtung der jeweiligen Halbleiterfinnen 64 sein.
  • Die 7A, 7B und 7C zeigen die Herstellung von Gate-Dichtungsabstandshaltern 72 auf freigelegten Oberflächen der Trennbereiche 62, der Halbleiterfinnen 64, des Gate 68 und der Maske 70. Die Gate-Dichtungsabstandshalter 72 können durch thermische Oxidation oder Abscheidung hergestellt werden. Bei einigen Ausführungsformen können die Gate-Dichtungsabstandshalter 72 aus einem Nitrid, wie etwa Siliziumnitrid oder Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder einer Kombination davon bestehen. An die Herstellung der Gate-Dichtungsabstandshalter 72 kann sich eine anisotrope Ätzung anschließen, wie etwa eine Trockenätzung, um Teile der Gate-Dichtungsabstandshalter 72 außerhalb der Seitenwände der Gate-Strukturen zu entfernen. Bei einigen Ausführungsformen verbleiben nach dem Ätzprozess einige Teile der Gate-Dichtungsabstandshalter 72 auf den Trennbereichen 62 zwischen den benachbarten Halbleiterfinnen 64.
  • Die 8A, 8B und 8C zeigen das Entfernen der Halbleiterfinnen 64 außerhalb der Gate-Struktur. Die Gate-Struktur kann als eine Maske während der Entfernung der Halbleiterfinnen 64 verwendet werden, und zwar so, dass Aussparungen 76 in den Halbleiterfinnen 64 und/oder in den Trennbereichen 62 entstehen. Wie gezeigt ist, verbleibt nach der Entfernung der Halbleiterfinnen 64 zumindest ein Teil der Trennbereiche 62 auf der Oberseite der Kronenstruktur 58 zwischen den benachbarten Halbleiterfinnen 64.
  • Die Aussparungen 76 können durch Ätzung mittels RIE, NBE oder eines anderen geeigneten Ätzprozesses, unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniakhydrat (NH4OH), eines Nassätzmittels, das Silizium mit einer guten Ätzselektivität zwischen Silizium und einem Material der Trennbereiche 62 und/oder der Gate-Dichtungsabstandshalter 72 ätzen kann, oder dergleichen oder eine Kombination davon hergestellt werden. Die Ätzung kann anisotrop sein. Bei einigen Ausführungsformen wird die Oberseite der Kronenstruktur 58 zumindest an Teilen der Unterseiten der Aussparungen 76 freigelegt. Bei einigen Ausführungsformen verbleibt ein Teil des Materials der Gate-Dichtungsabstandshalter 72 auf den Trennbereichen 62 zwischen den benachbarten Halbleiterfinnen 64 (in den Figuren nicht dargestellt).
  • Die 9 bis 13 zeigen die Herstellung der Source-/Drain-Bereiche. Bei einigen Ausführungsformen umfasst die Herstellung der Source-/Drain-Bereiche mehrere getrennte Abscheidungs- und Rückätzprozesse. Wie in 9 gezeigt ist, wird ein erster Abscheidungsprozess durchgeführt, um eine Epitaxialschicht 80 in den Aussparungen 76 durch epitaxiales Aufwachsen eines Materials in den Aussparungen 76 herzustellen, wie etwa durch metallorganische chemische Aufdampfung (MOCVD), Molekularstrahlepitaxie (MBE), Flüssigphasenepitaxie (LPE), Dampfphasenepitaxie (VPE), selektives epitaxiales Aufwachsen (SEG) oder dergleichen oder eine Kombination davon. Wie in 9 gezeigt ist, wächst auf Grund der Blockierung der Trennbereiche 62 zwischen den benachbarten Halbleiterfinnen 64 die Epitaxialschicht 80 in den Aussparungen 76 vertikal, aber sie wächst dabei nicht horizontal. Nachdem die Aussparungen 76 vollständig gefüllt worden sind, wächst die Epitaxialschicht 80 sowohl vertikal als auch horizontal, sodass Abschrägungen entstehen. Die Lage der Finnen 64 in dem Kanalbereich unter dem Gate (der z. B. nicht bei einem vorhergehenden Ätzschritt zur Herstellung der Aussparungen 76 ausgespart worden ist) ist zur Bezugnahme gezeigt.
  • Bei einigen beispielhaften Ausführungsformen, bei denen der resultierenden FinFET ein n-FinFET ist, weist die erste Epitaxialschicht 80 Siliziumcarbid (SiC), Siliziumphosphor (SiP), Silizium-Kohlenstoff-Phosphor (SiCP) oder dergleichen auf. Bei alternativen beispielhaften Ausführungsformen, bei denen der resultierende FinFET ein p-FinFET ist, weist die erste Epitaxialschicht 80 SiGe und einen p-Dotierungsstoff, wie etwa Bor oder Indium, auf.
  • Die erste Epitaxialschicht 80 kann mit Dotanden implantiert werden und anschließend geglüht werden. Die Implantation kann das Herstellen und Strukturieren von Masken, wie etwa eines Fotoresists, umfassen, um die Bereiche des FinFET, die vor der Implantation geschützt werden sollen, zu bedecken. Die erste Epitaxialschicht 80 kann eine Dotierungsstoffkonzentration in dem Bereich von etwa 3e21 cm-3 bis etwa 4,2e21 cm-3 haben. Bei einigen Ausführungsformen kann die erste Epitaxialschicht 80 während des Aufwachsens in situ dotiert werden.
  • Wie in 9 gezeigt ist, verschmelzen die ersten Epitaxialschichten 80 der benachbarten Halbleiterfinnen zu einer zusammenhängenden Epitaxialschicht 80. Durch die Blockierung der Trennbereiche 62 auf der Kronenstruktur 58 entstehen Luftspalte 82 zwischen den unteren Teilen der ersten Epitaxialschicht 80 und der Oberseite der Trennbereiche 62 auf der Kronenstruktur 58. Nach dem ersten Abscheidungsprozess hat die erste Epitaxialschicht 80 Oberflächen mit verschiedenen Kristallorientierungen. Zum Beispiel haben erste Teile 80A der Oberseite der ersten Epitaxialschicht 80 (100)-Kristallorientierungen. Diese ersten Teile 80A befinden sich zwischen zweiten Teilen 80B der Oberseite, die Abschrägungen sind, die (111)-Kristallorientierungen haben. Die abgeschrägten zweiten Teile 80B der Oberseite von Source-/Drain-Bereichen 80 entstehen zwischen benachbarten Finnen 64 (Bereich innerhalb der Finnen), sodass sich die Oberseite der Source-/Drain-Bereiche 80 in dem Bereich innerhalb der Finnen unter einer Oberseite der Finnen 64 befindet. Die erste Epitaxialschicht 80 hat außerdem dritte Teile 80C, die sich auf den Seiten der ersten Epitaxialschicht 80 befinden und (110)-Kristallorientierungen haben.
  • In 10 wird ein erster Rückätzprozess 84 an der ersten Epitaxialschicht 80 durchgeführt. Für den ersten Rückätzprozess 84 können mehrere Gase/Vorläufer verwendet werden. Bei einigen Ausführungsformen werden für den ersten Rückätzprozess 84 die zwei Vorläufer SiH4 und HCl verwendet. Bei einer Ausführungsform liegt die Menge des SiH4-Vorläufers als ein Prozentsatz der beiden Vorläufer in dem Bereich von etwa 5 % bis etwa 20 %. Bei einer Ausführungsform liegt die Menge des HCl-Vorläufers als ein Prozentsatz der beiden Vorläufer in dem Bereich von etwa 10 % bis etwa 45 %. Bei diesen Ausführungsformen bedeckt und passiviert das SiH4 (das in 10 als der 1. Vorläufer dargestellt ist) die ersten Teile 80A der Oberseite der ersten Epitaxialschicht 80, die eine (100)-Kristallorientierung hat. Außerdem greift bei diesen Ausführungsformen das HCl (das in 10 als der 2. Vorläufer dargestellt ist) die zweiten und dritten Teile 80B und 80C an und ätzt sie.
  • Der erste Rückätzprozess 84 wird in einer Umgebung mit einer hohen Temperatur und einem niedrigen Druck durchgeführt. Die hohe Temperatur für den ersten Rückätzprozess 84 kann in dem Bereich von etwa 650 °C bis etwa 800 °C liegen. Der niedrige Druck für den ersten Rückätzprozess 84 kann in dem Bereich von 133 Pascal bis etwa 6666 Pascal liegen. Bei einigen Ausführungsformen kann für den ersten Rückätzprozess 84 H2 als ein Trägergas verwendet werden, und die Ätzdauer kann in dem Bereich von etwa 50 Sekunden bis etwa 700 Sekunden liegen. Dadurch, dass die Umgebung für die Rückätzprozesse eine hohe Temperatur und einen niedrigen Druck hat, kann die Form der ersten Epitaxialschicht 80 so gesteuert werden, dass sie nicht-abgeschrägte Oberseiten hat, da das SiH4 die Oberseiten [(100)-Orientierung] passiviert und schützt, während der HCl-Vorläufer die Seiten (80C) [(110)-Orientierung] und die abgeschrägte Oberseite (80B) [(111)-Orientierung] angreift und schneller als die Oberseite (80A) [(100)-Orientierung] der ersten Epitaxialschicht 80 ätzt. Zum Beispiel ätzt die HCl die Seiten [(110)-Orientierung] der ersten Epitaxialschicht 80 mit etwa der 1- bis 20-fachen, z. B. etwa 4-fachen, Rate der Oberseiten der ersten Epitaxialschicht 80. Vorstehend wird zwar HCl als das Ätzmittel verwendet, aber es können auch andere Ätzmittel, wie etwa GeH4 und/oder Cl2, für den kontrollierten Ätzprozess verwendet werden, um die nicht-abgeschrägten Oberseiten der ersten Epitaxialschicht 80 zu erhalten. Bei einigen Ausführungsformen ist die nicht-abgeschrägte Oberseite im Wesentlichen eben.
  • 11 zeigt eine erste Epitaxialschicht 80' nach der Durchführung des ersten Rückätzprozesses 84. Eine geätzte hintere Oberseite 80A' der ersten Epitaxialschicht 80' hat eine (100)-Kristallorientierung. Bei einigen Ausführungsformen kann die Dicke der geätzten hinteren ersten Epitaxialschicht 80' zwischen den Finnen 64 eine Dicke T1 von etwa 10 nm sein. Bei einigen Ausführungsformen werden bei dem ersten Rückätzprozess 84 die abgeschrägten Teile 80B der Oberseite entfernt, sodass eine Oberseite 80A' zurückbleibt, die niedriger als die Oberseite der Finnen 64 in dem Finnenbereich und in dem Bereich zwischen den Finnen 64 ist.
  • 12 zeigt den zweiten Abscheidungsprozess zur Herstellung einer zweiten Epitaxialschicht 86 auf der geätzten hinteren ersten Epitaxialschicht 80'. Der zweite Abscheidungsprozess wird durchgeführt, um die Epitaxialschicht 86 mit einer Oberseite 86A und Seiten 86C auf der geätzten hinteren ersten Epitaxialschicht 80' durch epitaxiales Aufwachsen eines Materials herzustellen, wie etwa durch MOCVD, MBE, LPE, VPE, SEG oder dergleichen oder eine Kombination davon. Wie in 12 gezeigt ist, wächst die zweite Epitaxialschicht 86 sowohl vertikal als auch horizontal, sodass Abschrägungen auf den Seiten 86C entstehen, wobei die Oberseite 86A der Source-/Drain-Bereiche über den gesamten Bereich zwischen den Finnen hinweg höher als die Finnen 64 ist, sodass die zweite Epitaxialschicht 86 den Finnen-Zwischenbereich zwischen benachbarten Finnen füllt. Die Seiten 86C weisen Abschrägungen 86C1 und 86C2 auf, die sich auf unterschiedlichen Ebenen befinden. Die Abschrägung 86C1 verläuft von der Oberseite 86A herab und trifft mit der Abschrägung 86C2 zusammen, wobei beide Abschrägungen nicht parallel und nicht senkrecht zu einer Hauptfläche des Substrats 50 sind.
  • Bei einigen beispielhaften Ausführungsformen, bei denen der resultierende FinFET ein n-FinFET ist, weist die zweite Epitaxialschicht 86 SiC, SiP, SiCP oder dergleichen auf. Bei alternativen beispielhaften Ausführungsformen, bei denen der resultierende FinFET ein p-FinFET ist, weist die zweite Epitaxialschicht 86 SiGe und einen p-Dotierungsstoff, wie etwa Bor oder Indium, auf.
  • Die erste Epitaxialschicht 86 kann mit Dotanden implantiert werden und kann anschließend geglüht werden. Die Implantation kann das Herstellen und Strukturieren von Masken, wie etwa eines Fotoresists, umfassen, um die Bereiche des FinFET, die vor der Implantation geschützt werden sollen, zu bedecken. Die zweite Epitaxialschicht 86 kann eine Dotierungsstoffkonzentration in dem Bereich von etwa 3e21 cm-3 bis etwa 4,2e21 cm-3 haben. Bei einigen Ausführungsformen kann die zweite Epitaxialschicht 86 während des Aufwachsens in situ dotiert werden.
  • 13 zeigt eine zweite Epitaxialschicht 86' nach einem zweiten Rückätzprozess, wobei die geätzten hinteren Epitaxialschichten 86' und 80' Source-/Drain-Bereiche 86' bzw. 80' bilden. Der zweite Rückätzprozess ist dem ersten Rückätzprozess ähnlich, der vorstehend beschrieben worden ist, und er führt dazu, dass die zweite Epitaxialschicht 86' eine Oberseite 86A' hat, die höher als die Finnen 64 in dem Finnenbereich und dem Finnen-Zwischenbereich ist, sodass die zweite Epitaxialschicht 86 den Finnen-Zwischenbereich zwischen benachbarten Finnen 64 füllt. Insbesondere kann die Oberseite 86A' der geätzten hinteren zweiten Epitaxialschicht 86' höher als die Finnen 64 über den gesamten Finnen-Zwischenbereich hinweg sein. Für den zweiten Rückätzprozess können mehrere Gase/Vorläufer verwendet werden. Bei einigen Ausführungsformen werden für den zweiten Rückätzprozess die zwei Vorläufer SiH4 und HCl verwendet. Darüber hinaus kann nach dem zweiten Rückätzprozess die geätzte hintere zweite Epitaxialschicht 86' Seiten-Abschrägungen 86C3' auf den Seiten haben, da die Ätzrate für die Oberfläche mit der (110)-Orientierung der Seiten größer als die Ätzrate für die Oberseite 86A' [(100)-Orientierung] der zweiten Epitaxialschicht 86 ist. Die Abschrägungen 86C3' befinden sich zwischen den Abschrägungen 86C1' und 86C2'. Bei einigen Ausführungsformen sind die Abschrägungen 86C3' im Wesentlichen senkrecht zu der Hauptfläche des Substrats. Wie vorstehend für den ersten Rückätzprozess dargelegt worden ist, passiviert und schützt der SiH4-Vorläufer die Oberseite 86A [(100)-Orientierung], während der HCl-Vorläufer die Seiten 86C [(110)-Orientierung] angreift und ätzt. Bei einigen Ausführungsformen kann die Dicke der Kombination aus der geätzten hinteren ersten und zweiten Epitaxialschicht 80'/86' zwischen den Finnen 64 eine Dicke T2 von mehr als etwa 10 nm sein. Bei einigen Ausführungsformen kann die Dicke T2 das Zweifache der Dicke T1 betragen und kann z. B. größer als etwa 20 nm sein.
  • Der zweite Rückätzprozess wird in einer Umgebung mit einer hohen Temperatur und einem niedrigen Druck durchgeführt. Die hohe Temperatur für den zweiten Rückätzprozess kann in dem Bereich von etwa 650 °C bis etwa 800 °C liegen. Der niedrige Druck für den zweien Rückätzprozess kann in dem Bereich von 133 Pascal bis etwa 6666 Pascal liegen. Bei einigen Ausführungsformen kann für den zweiten Rückätzprozess H2 als ein Trägergas verwendet werden, und die Ätzdauer kann in dem Bereich von etwa 50 Sekunden bis etwa 700 Sekunden liegen. Dadurch, dass die Umgebung für die Rückätzprozesse eine hohe Temperatur und einen niedrigen Druck hat, kann die Form der Source-/Drain-Bereiche 86' und 80' so gesteuert werden, dass sie nicht-abgeschrägte Oberseiten 86A' hat, sodass das epitaxiale Source/Drain-Material den Finnen-Zwischenbereich füllt. Die Oberseite der Source-/Drain-Bereiche 86' und 80' ist nicht abgeschrägt, während die Unterseiten (z. B. die Oberflächen, die zu den Luftspalten 82 freiliegen) abgeschrägte Flächen sind.
  • Nach der Herstellung der Source-/Drain-Bereiche 86' und 80' kann eine Verkappungsschicht (nicht dargestellt) auf den Source-/Drain-Bereichen 86' und 80' hergestellt werden. Die Verkappungsschicht kann als Teil der Source-/Drain-Bereiche 86' und 80' angesehen werden. Bei einigen Ausführungsformen wird die Verkappungsschicht epitaxial auf die Source-/Drain-Bereiche 86' und 80' aufgewachsen. Die Verkappungsschicht trägt zum Schutz der Source-/Drain-Bereiche 86' und 80' vor einem Dotandenverlust während der nachfolgenden Bearbeitung (z. B. Ätzprozesse, Wärmebehandlung usw.) bei.
  • Die epitaxialen Source-/Drain-Bereiche 86' und 80' können in die Kronenstruktur 58 hinein reichen. Dieser Teil der Source-/Drain-Bereiche 86' und 80', der in die Kronenstruktur 58 hinein reicht, kann als eine Pufferschicht (nicht dargestellt) bezeichnet werden, da er die Spannungsunterschiede zwischen den Source-/Drain-Bereichen 86' und 80', die eine höhere Dotierungskonzentration haben, und der Kronenstruktur 58 darunter ausgleichen kann. Die Pufferschicht kann als Teil der Source-/Drain-Bereiche 86' und 80' angesehen werden. Die Dotierungskonzentration der Pufferschicht kann von der Dotierungskonzentration des übrigen Teils der Source-/Drain-Bereiche 86' und 80' verschieden sein (d. h. des Teils der Source-/Drain-Bereiche 86' und 80', der nicht als Teil der Pufferschicht angesehen wird, z. B. der Teil der Source-/Drain-Bereiche 86' und 80', der sich über der Oberseite der Kronenstruktur 58 befindet). Zum Beispiel kann die Pufferschicht eine niedrigere Konzentration von Phosphor als der übrige Teil der Source-/Drain-Bereiche 86' und 80' haben. Auf Grund der höheren Konzentration des übrigen Teils der Source-/Drain-Bereiche 86' und 80' können die Source-/Drain-Bereiche 86' und 80' eine höhere Spannung auf den Kanalbereich des FinFET aufbringen. Dieser Teil der Source-/Drain-Bereiche 86' und 80', der die hohe Dotierungskonzentration hat, kann als Stressorschicht 86'/80' bezeichnet werden. Außerdem kann die Dotierungskonzentration der Verkappungsschicht von der der Stressorschicht 86'/80' verschieden sein.
  • Bei einigen Ausführungsformen können die Pufferschicht und die erste Epitaxialschicht 80 in einem einzigen kontinuierlichen epitaxialen Prozess hergestellt werden. Bei anderen Ausführungsformen können diese Strukturen in getrennten Prozessen hergestellt werden. Bei der Ausführungsform mit dem einzigen kontinuierlichen Prozess können die Prozessparameter des epitaxialen Prozesses (z. B. Prozessgas-Durchsatz, -Temperatur, -Druck usw.) geändert werden, um diese Strukturen mit anderen Materialzusammensetzungen herzustellen. Zum Beispiel kann während der Epitaxie der Durchsatz der Vorläufer während der Herstellung der Pufferschicht auf einem ersten Niveau sein und kann beim Übergang zu der Herstellung der ersten Epitaxialschicht 80 auf ein zweites Niveau angehoben werden.
  • Es kann eine nachfolgende Bearbeitung des FinFET-Bauelements durchgeführt werden, wie etwa die Herstellung einer oder mehrerer Zwischenschicht-Dielektrika und die Herstellung von Kontakten. Diese Prozesse werden nachstehend unter Bezugnahme auf die 14 und 15 erörtert.
  • In 14 wird ein Zwischenschicht-Dielektrikum (ILD) 90 über der Struktur abgeschieden, die in 13 gezeigt ist. Das ILD 90 besteht aus einem dielektrischen Material, wie etwa Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertem Silicatglas (USG) oder dergleichen, und kann mit einem geeigneten Verfahren, wie etwa CVD, PECVD oder FCVD, abgeschieden werden.
  • In 15 wird ein Kontakt 92 durch das ILD 90 hergestellt. Eine Öffnung für den Kontakt 92 wird durch das ILD 90 hergestellt, um einen Teil der Source/Drain-Struktur freizulegen. Die Öffnung kann unter Verwendung von geeigneten fotolithografischen und Ätzverfahren hergestellt werden. Bei einigen Ausführungsformen wird zumindest ein Teil der Verkappungsschicht, falls vorhanden, und/oder der zurückgeätzten hinteren zweiten Epitaxialschicht 86' während der Herstellung der Öffnung entfernt. Bei einigen Ausführungsformen kann durch den Ätzprozess für die Kontaktöffnung die Source/Drain-Struktur des freigelegten Teils (die die Verkappungsschicht, falls vorhanden, umfasst) mit einer Tiefe von etwa 2 nm ausgespart werden. In den Öffnungen werden eine Deckschicht, wie etwa eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitendes Material abgeschieden. Die Deckschicht kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitende Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel oder dergleichen sein. Es kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um überschüssiges Material von einer Oberfläche des ILD 90 zu entfernen. Die übrige Deckschicht und das übrige leitende Material bilden Kontakte 92 in den Öffnungen. Es kann ein Glühprozess durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den Source-/Drain-Bereichen 86' und 80' (falls vorhanden, der Verkappungsschicht) und dem Kontakt 92 herzustellen. Bei einigen Ausführungsformen wird das Silizid in dem ausgesparten Teil der freigelegten Source/Drain-Struktur hergestellt, die vorstehend erörtert worden ist. Der Kontakt 92 ist mit den Source-/Drain-Bereichen 86' und 80' (falls vorhanden, der Verkappungsschicht) physisch und elektrisch verbunden.
  • Obgleich es nicht ausdrücklich dargestellt ist, dürfte ein Fachmann ohne Weiteres erkennen, dass weitere Bearbeitungsschritte an der Struktur in 15 ausgeführt werden können. Zum Beispiel können verschiedene Zwischenmetall-Dielektrika (IMD) und deren entsprechende Metallisierungen über dem ILD 90 hergestellt werden. Weiterhin können Kontakte mit einer Gate-Elektrode 68 durch darüber befindliche dielektrische Schichten hergestellt werden.
  • Weiterhin kann bei einigen Ausführungsformen ein Gate-zuletzt-Prozess (der gelegentlich auch als Gate-Ersetzungsprozess bezeichnet wird) verwendet werden. Bei diesen Ausführungsformen können das Gate 68 und das Gate-Dielektrikum 66 als Dummy-Strukturen angesehen werden, und sie werden bei der nachfolgenden Bearbeitung entfernt und durch ein aktives Gate bzw. ein aktives Gate-Dielektrikum ersetzt.
  • Zwar zeigen die Ausführungsformen in den 2 bis 15 drei Finnen für jeden FinFET, aber andere Ausführungsformen sehen mehr oder weniger Finnen für jeden FinFET vor. Zwar zeigen die Ausführungsformen in den 2 bis 15 eine Kronenstruktur, aber es können auch andere Strukturen, wie etwa FinFETs ohne Kronenstrukturen, die Merkmale der vorliegenden Erfindung nutzen.
  • 16 ist eine Schnittansicht einer Zwischenstufe bei der Herstellung von FinFETs gemäß einer beispielhaften Ausführungsform. 16 zeigt den Querschnitt C - C von 1. Diese Ausführungsform ist den Ausführungsformen ähnlich, die vorstehend in den 2 bis 15 beschrieben worden sind, mit der Ausnahme, dass bei dieser Ausführungsform zwei Finnen an der Kronenstruktur 58 verwendet werden. Einzelheiten zu dieser Ausführungsform, die denen bei der vorstehend beschriebenen Ausführungsform ähnlich sind, werden hier nicht wiederholt.
  • Bei einigen Ausführungsformen kann die Struktur von 16 für einen n-MetallOxid-Halbleiter-Transistor (NMOS-Transistor) in einem SRAM-Bauelement verwendet werden. Das Bauelement von 16 kann zum Beispiel als ein Pull-down-Transistor in dem SRAM-Bauelement verwendet werden. Bei dieser Ausführungsform können die Source-/Drain-Bereiche 80 SiC, SiP, SiCP oder dergleichen aufweisen. Ähnlich wie bei den vorhergehenden Ausführungsformen verbleibt ein Teil der Trennbereiche 62 in der Nähe der Öffnung der Aussparung, die entsteht, wenn die Halbleiterfinne 64 zurückgeätzt wird. Dieser verbleibende Trennbereich 62 trägt dazu bei, die Luftspalte 82 zwischen benachbarten Halbleiterfinnen 64 herzustellen. Ähnlich wie bei den vorhergehenden Ausführungsformen kann dadurch, dass die Umgebung für die Rückätzprozesse eine hohe Temperatur und einen niedrigen Druck hat, die Form der Source-/Drain-Bereiche 86' und 80' so gesteuert werden, dass sie nicht-abgeschrägte Oberseiten 86A' hat, sodass das epitaxiale Source-/Drain-Material den Finnen-Zwischenbereich füllt.
  • Obwohl es nicht dargestellt ist, kann diese Ausführungsform auch den übrigen Teil des Gate-Dichtungsabstandshalters 72 auf dem übrigen Teil des Trennbereichs 62 zwischen den benachbarten Finnen aufweisen.
  • Die Ausführungsformen können verschiedene Vorzüge haben. Zum Beispiel betrifft die vorliegende Erfindung ein Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung, um einen Prozessablauf bereitzustellen, um einen nicht-abgeschrägten epitaxialen Source/Drain in einem FinFET zur Verbesserung des Bauelements zu erhalten. Darüber hinaus vergrößert dieser nicht-abgeschrägte epitaxiale Source/Drain den Kontakt-Aufsetzbereich, wodurch der Kontaktwiderstand zu dem Source-/Drain-Bereich verringert werden kann.
  • Der Prozessablauf umfasst einen ersten Abscheidungsprozess, an den sich ein erster Rückätzprozess anschließt, an den sich wiederum ein zweiter Abscheidungsprozess und ein zweiter Rückätzprozess anschließen. Für die Abscheidungsprozesse kann jeweils Silan (SiH4) als ein Vorläufer zum Aufwachsen zum Beispiel von Siliziumphosphor(SiP)-Source-/Drain-Bereichen verwendet werden. Die Rückätzprozesse werden jeweils in Umgebungen mit einer hohen Temperatur und einem niedrigen Druck durchgeführt, und es können SiH4 und Chlorwasserstoffsäure (HCl) als Vorläufer verwendet werden. Bei einigen Ausführungsformen wird für die Rückätzprozesse kein SiH4 als Vorläufer verwendet. Die hohe Temperatur für die Rückätzprozesse kann in dem Bereich von etwa 650 °C bis etwa 800 °C liegen. Der niedrige Druck für die Rückätzprozesse kann in dem Bereich von 133 Pascal bis etwa 6666 Pascal liegen. Dadurch, dass die Umgebung für die Rückätzprozesse eine hohe Temperatur und einen niedrigen Druck hat, kann die Form des Source/Drain so gesteuert werden, dass er nicht-abgeschrägte Oberseiten hat, da das SiH4 die Oberseiten [(100)-Orientierung] passiviert, während die HCl die Seiten [(110)-Orientierung] der Source-/Drain-Bereiche mit etwa der 1- bis 20-fachen, z. B. etwa 4-fachen, Rate der Oberseiten der Source-/Drain-Bereiche ätzt. Bei einigen Ausführungsformen kann der beschriebene Prozessablauf bei der Herstellung von SRAM-Bauelementen (SRAM: statischer Direktzugriffsspeicher) verwendet werden.
  • Eine Ausführungsform ist ein Verfahren mit den folgenden Schritten: Herstellen eines erhabenen Teils eines Substrats; Herstellen von Finnen auf dem erhabenen Teil des Substrats; Herstellen eines Trennbereichs, der die Finnen umschließt, wobei sich ein erster Teil des Trennbereichs auf einer Oberseite des erhabenen Teils des Substrats zwischen benachbarten Finnen befindet; Herstellen einer Gate-Struktur über den Finnen; und Herstellen von Source-/Drain-Bereichen auf gegenüberliegenden Seiten der Gate-Struktur, wobei die Herstellung der Source-/Drain-Bereiche die folgenden Schritte umfasst: epitaxiales Aufwachsen einer ersten Epitaxialschicht auf der Finne, die an die Gate-Struktur angrenzt, Rückätzen der ersten Epitaxialschicht, epitaxiales Aufwachsen einer zweiten Epitaxialschicht auf der geätzten ersten Epitaxialschicht, und Rückätzen der zweiten Epitaxialschicht, wobei die geätzte zweite Epitaxialschicht eine nicht-abgeschrägte Oberseite hat und die geätzte erste Epitaxialschicht und die geätzte zweite Epitaxialschicht Source-/Drain-Bereiche bilden. Bei einer Ausführungsform umfasst das Verfahren weiterhin die Herstellung eines Luftspalts, der mindestens einen Source-/Drain-Bereich von dem ersten Teil des Trennbereichs trennt. Bei einer Ausführungsform umfasst das Verfahren weiterhin die Herstellung eines Gate-Dichtungsabstandshalters auf Seitenwänden der Gate-Struktur, wobei sich ein erster Teil des Gate-Dichtungsabstandshalters zwischen dem ersten Teil des Trennbereichs und dem Luftspalt befindet. Bei einer Ausführungsform umfasst die Herstellung der Source-/Drain-Bereiche weiterhin die folgenden Schritte: Aussparen der Finnen außerhalb der Gate-Struktur, sodass sich die Oberseiten unter einer Oberseite des Trennbereichs befinden; und epitaxiales Aufwachsen der ersten Epitaxialschicht von den ausgesparten Finnen auf gegenüberliegenden Seiten der Gate-Strukturen. Bei einer Ausführungsform weisen die erste Epitaxialschicht und die zweite Epitaxialschicht Siliziumphosphor (SiP) auf. Bei einer Ausführungsform werden die Rückätzung der ersten Epitaxialschicht und die Rückätzung der zweiten Epitaxialschicht in einer Umgebung mit einer ersten Temperatur und einem ersten Druck durchgeführt, wobei die erste Temperatur in dem Bereich von 650 °C bis 800 °C liegt und der erste Druck in dem Bereich von 133 Pascal bis 6666 Pascal liegt. Bei einer Ausführungsform umfassen die Rückätzung der ersten Epitaxialschicht und die Rückätzung der zweiten Epitaxialschicht die Ätzung der ersten Epitaxialschicht und der zweiten Epitaxialschicht mit Chlorwasserstoffsäure. Bei einer Ausführungsform hat nach dem epitaxialen Aufwachsen der ersten Epitaxialschicht auf der Finne, die an die Gate-Struktur angrenzt, und vor der Rückätzung der ersten Epitaxialschicht eine Oberseite der ersten Epitaxialschicht erste Abschrägungen, wobei durch die Rückätzung der ersten Epitaxialschicht die ersten Abschrägungen entfernt werden. Bei einer Ausführungsform haben die ersten Abschrägungen (111)-Kristallorientierungen. Bei einer Ausführungsform ist nach der Rückätzung der ersten Epitaxialschicht eine Oberseite der geätzten ersten Epitaxialschicht niedriger als die Oberseite der Finnen in einem Finnenbereich und in einem Bereich zwischen benachbarten Finnen. Bei einer Ausführungsform ist nach der Rückätzung der zweiten Epitaxialschicht die nicht-abgeschrägte Oberseite der geätzten zweiten Epitaxialschicht höher als die Oberseite der Finnen in dem Finnenbereich und in dem Bereich zwischen benachbarten Finnen.
  • Eine weitere Ausführungsform ist ein Verfahren mit den folgenden Schritten: Herstellen einer ersten Finne über einem Substrat; Herstellen eines Trennbereichs, der die erste Finne umschließt; Herstellen einer ersten Gate-Struktur über der ersten Finne; Aussparen der ersten Finne außerhalb der ersten Gate-Struktur, sodass sich eine Oberseite unter einer Oberseite des Trennbereichs befindet; und Herstellen eines ersten Source-/Drain-Bereichs von der ausgesparten ersten Finne außerhalb der ersten Gate-Struktur, wobei die Herstellung des ersten Source-/Drain-Bereichs die folgenden Schritte umfasst: epitaxiales Aufwachsen einer ersten Epitaxialschicht von der ausgesparten ersten Finne, die an die erste Gate-Struktur angrenzt, Rückätzen der ersten Epitaxialschicht mit einem ersten Rückätzprozess, wobei der erste Rückätzprozess SiH4 und HCl umfasst, epitaxiales Aufwachsen einer zweiten Epitaxialschicht auf der geätzten ersten Epitaxialschicht, und Rückätzen der zweiten Epitaxialschicht mit einem zweiten Rückätzprozess, wobei der zweite Rückätzprozess SiH4 und HCl umfasst, wobei die geätzte erste Epitaxialschicht und die geätzte zweite Epitaxialschicht den ersten Source-/Drain-Bereich bilden. Bei einer Ausführungsform umfasst das Verfahren weiterhin die Herstellung eines Gate-Dichtungsabstandshalters auf Seitenwänden der ersten Gate-Struktur, wobei sich ein erster Teil des Gate-Dichtungsabstandshalters auf dem Trennbereich befindet, wobei der erste Teil des Gate-Dichtungsabstandshalters eine Oberseite hat, die sich über einer Oberseite der ausgesparten ersten Finne befindet. Bei einer Ausführungsform umfasst das Verfahren weiterhin die folgenden Schritte: Herstellen einer zweiten Finne über dem Substrat, wobei die zweite Finne zu der ersten Finne benachbart ist, der Trennbereich die zweite Finne umschließt und sich die erste Gate-Struktur über der zweiten Finne befindet; Aussparen der zweiten Finne außerhalb der ersten Gate-Struktur, sodass sich eine Oberseite unter einer Oberseite des Trennbereichs befindet; und epitaxiales Aufwachsen eines zweiten Source-/Drain-Bereichs von der ausgesparten zweiten Finne außerhalb der ersten Gate-Struktur, wobei der erste Source-/Drain-Bereich und der zweite Source-/Drain-Bereich zu einem zusammenhängenden Source-/Drain-Bereich verschmelzen, wobei der Trennbereich einen Luftspalt zwischen einer Oberseite des Trennbereichs und dem zusammenhängenden Source-/Drain-Bereich bildet. Bei einer Ausführungsform umfasst das Verfahren weiterhin das Herstellen eines Gate-Dichtungsabstandshalters auf Seitenwänden der ersten Gate-Struktur, wobei sich ein erster Teil des Gate-Dichtungsabstandshalters auf dem Trennbereich befindet, wobei sich der erste Teil des Gate-Dichtungsabstandshalters zwischen dem Luftspalt und dem Trennbereich befindet. Bei einer Ausführungsform weisen die erste Epitaxialschicht und die zweite Epitaxialschicht Siliziumphosphor (SiP) auf.
  • Eine weitere Ausführungsformen ist eine Struktur mit: einem erhabenen Teil eines Substrats; einer ersten Finne über dem erhabenen Teil des Substrats; einer zweiten Finne über dem Substrat, wobei die zweite Finne zu der ersten Finne benachbart ist; einem Trennbereich, der die erste Finne und die zweite Finne umschließt; einer Gate-Struktur entlang Seitenwänden und über Oberseiten der ersten Finne und der zweiten Finne; einem Source-/Drain-Bereich auf der ersten Finne und der zweiten Finne, die an die Gate-Struktur angrenzen, wobei der Source-/Drain-Bereich eine nicht-abgeschrägte Oberseite hat; und einem Luftspalt, der den Source-/Drain-Bereich von einer Oberseite des erhabenen Teils des Substrats trennt. Bei einer Ausführungsform ist die nicht-abgeschrägte Oberseite des Source-/Drain-Bereichs höher als Oberseiten der ersten Finne und der zweite Finne in einem Bereich zwischen der ersten Finne und der zweite Finne. Bei einer Ausführungsform weist die Struktur weiterhin einen ersten Teil des Trennbereichs auf, der sich zwischen dem Luftspalt und der Oberseite des erhabenen Teils des Substrats befindet.

Claims (20)

  1. Verfahren mit den folgenden Schritten: Herstellen eines erhabenen Teils eines Substrats (32, 50); Herstellen von Finnen (36, 60, 64) auf dem erhabenen Teil des Substrats (32, 50); Herstellen eines Trennbereichs (34, 62), der die Finnen (36, 60, 64) umschließt, wobei sich ein erster Teil des Trennbereichs (34, 62) auf einer Oberseite des erhabenen Teils des Substrats (32, 50) zwischen benachbarten Finnen (36, 60, 64) befindet; Herstellen einer Gate-Struktur (40) über den Finnen (36, 60, 64); und Herstellen von Source-/Drain-Bereichen (42, 44) auf entgegengesetzten Seiten der Gate-Struktur (40), wobei das Herstellen der Source-/Drain-Bereiche (42, 44) die folgenden Schritte umfasst: epitaxiales Aufwachsen einer ersten Epitaxialschicht (80) auf der Finne (36, 60, 64), die an die Gate-Struktur angrenzt, Rückätzen der ersten Epitaxialschicht (80), wobei das Rückätzen ein Ätzen mit SiH4 und HCl umfasst, wobei nach dem epitaxialen Aufwachsen der ersten Epitaxialschicht (80) auf der Finne (36, 60, 64), die an die Gate-Struktur angrenzt, und vor dem Rückätzen der ersten Epitaxialschicht (80) eine Oberseite der ersten Epitaxialschicht (80) erste Abschrägungen mit einer (111)-Kristallorientierung hat, epitaxiales Aufwachsen einer zweiten Epitaxialschicht (86) auf der geätzten ersten Epitaxialschicht (80), und Rückätzen der zweiten Epitaxialschicht (86), wobei die geätzte zweite Epitaxialschicht (86) eine nicht-abgeschrägte Oberseite (86A, 86A') hat und die geätzte erste Epitaxialschicht (80) und die geätzte zweite Epitaxialschicht (86) Source-/Drain-Bereiche bilden.
  2. Verfahren nach Anspruch 1, das weiterhin das Herstellen eines Luftspalts (82) umfasst, der mindestens einen Source-/Drain-Bereich von dem ersten Teil des Trennbereichs (34, 62) trennt.
  3. Verfahren nach Anspruch 2, das weiterhin den folgenden Schritt umfasst: Herstellen eines Gate-Dichtungsabstandshalters (72) auf Seitenwänden der Gate-Struktur (40), wobei sich ein erster Teil des Gate-Dichtungsabstandshalters (72) zwischen dem ersten Teil des Trennbereichs (34, 62) und dem Luftspalt (82) befindet.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Herstellen der Source-/Drain-Bereiche weiterhin die folgenden Schritte umfasst: Aussparen der Finnen (36, 60, 64) außerhalb der Gate-Struktur, sodass sich Oberseiten (80A, 80B, 86A) unter einer Oberseite des Trennbereichs (34, 62) befinden; und epitaxiales Aufwachsen der ersten Epitaxialschicht (80) von den ausgesparten Finnen auf entgegengesetzten Seiten (80C, 86C) der Gate-Strukturen.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste Epitaxialschicht (80) und die zweite Epitaxialschicht (86) Siliziumphosphor (SiP) aufweisen.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Rückätzen der ersten Epitaxialschicht (80) und das Rückätzen der zweiten Epitaxialschicht (86) in einer Umgebung mit einer ersten Temperatur und einem ersten Druck durchgeführt werden, wobei die erste Temperatur in einem Bereich von 650 °C bis 800 °C liegt und der erste Druck in einem Bereich von 133 Pascal bis 6666 Pascal liegt.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Rückätzen der ersten Epitaxialschicht (80) und das Rückätzen der zweiten Epitaxialschicht (86) ein Ätzen der ersten Epitaxialschicht (80) und der zweiten Epitaxialschicht (86) mit Chlorwasserstoffsäure umfassen.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Rückätzen der zweiten Epitaxialschicht (86) ein Ätzen mit SiH4 und HCl umfasst.
  9. Verfahren nach einem der vorangegangenen Ansprüche, wobei eine nicht-abgeschrägte Oberseite der ersten Epitaxialschicht (80) niedriger ist als eine Oberseite der Finnen und die nicht-abgeschrägte Oberseite der zweiten Epitaxialschicht (86) höher ist als die Oberseite der Finnen.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei nach dem Rückätzen der ersten Epitaxialschicht (80) eine Oberseite der geätzten ersten Epitaxialschicht (80) niedriger als die Oberseite der Finnen (36, 60, 64) in einem Finnenbereich und in einem Bereich zwischen benachbarten Finnen (36, 60, 64) ist.
  11. Verfahren nach Anspruch 10, wobei nach dem Rückätzen der zweiten Epitaxialschicht (86) die nicht-abgeschrägte Oberseite (86A) der geätzten zweiten Epitaxialschicht (86) höher als die Oberseite der Finnen (36, 60, 64) in dem Finnenbereich und in dem Bereich zwischen benachbarten Finnen (36, 60, 64) ist.
  12. Verfahren mit den folgenden Schritten: Herstellen einer ersten Finne über einem Substrat (32, 50); Herstellen eines Trennbereichs (34, 62), der die erste Finne umschließt; Herstellen einer ersten Gate-Struktur über der ersten Finne; Aussparen der ersten Finne außerhalb der ersten Gate-Struktur, sodass sich eine Oberseite unter einer Oberseite des Trennbereichs (34, 62) befindet; und Herstellen eines ersten Source-/Drain-Bereichs von der ausgesparten ersten Finne außerhalb der ersten Gate-Struktur, wobei das Herstellen des ersten Source-/Drain-Bereichs die folgenden Schritte umfasst: epitaxiales Aufwachsen einer ersten Epitaxialschicht (80) von der ausgesparten ersten Finne, die an die erste Gate-Struktur angrenzt, Rückätzen der ersten Epitaxialschicht (80) mit einem ersten Rückätzprozess (84), wobei der erste Rückätzprozess (84) SiH4 und HCl umfasst, und wobei nach dem epitaxialen Aufwachsen der ersten Epitaxialschicht (80) auf der Finne (36, 60, 64), die an die Gate-Struktur angrenzt, und vor dem Rückätzen der ersten Epitaxialschicht (80) eine Oberseite (80A, 80B, 86A) der ersten Epitaxialschicht (80) erste Abschrägungen mit einer (111)-Kristallorientierung hat, epitaxiales Aufwachsen einer zweiten Epitaxialschicht (86) auf der geätzten ersten Epitaxialschicht (80), und Rückätzen der zweiten Epitaxialschicht (86) mit einem zweiten Rückätzprozess, wobei der zweite Rückätzprozess SiH4 und HCl umfasst, wobei die geätzte erste Epitaxialschicht (80) und die geätzte zweite Epitaxialschicht (86) den ersten Source-/Drain-Bereich bilden.
  13. Verfahren nach Anspruch 12, das weiterhin den folgenden Schritt umfasst: Herstellen eines Gate-Dichtungsabstandshalters (72) auf Seitenwänden der ersten Gate-Struktur, wobei sich ein erster Teil des Gate-Dichtungsabstandshalters (72) auf dem Trennbereich (34, 62) befindet, wobei der erste Teil des Gate-Dichtungsabstandshalters (72) eine Oberseite hat, die sich über einer Oberseite der ausgesparten ersten Finne befindet.
  14. Verfahren nach Anspruch 12 oder 13, das weiterhin die folgenden Schritte umfasst: Herstellen einer zweiten Finne über dem Substrat (32, 50), wobei die zweite Finne zu der ersten Finne benachbart ist, der Trennbereich (34, 62) die zweite Finne umschließt und sich die erste Gate-Struktur über der zweiten Finne befindet; Aussparen der zweiten Finne außerhalb der ersten Gate-Struktur, sodass sich eine Oberseite unter einer Oberseite des Trennbereichs (34, 62) befindet; und epitaxiales Aufwachsen eines zweiten Source-/Drain-Bereichs von der ausgesparten zweiten Finne außerhalb der ersten Gate-Struktur, wobei der erste Source-/Drain-Bereich und der zweite Source-/Drain-Bereich zu einem zusammenhängenden Source-/Drain-Bereich verschmelzen, wobei der Trennbereich (34, 62) einen Luftspalt (82) zwischen einer Oberseite des Trennbereichs (34, 62) und dem zusammenhängenden Source-/Drain-Bereich bildet.
  15. Verfahren nach Anspruch 14, das weiterhin den folgenden Schritt umfasst: Herstellen eines Gate-Dichtungsabstandshalters (72) auf Seitenwänden der ersten Gate-Struktur, wobei sich ein erster Teil des Gate-Dichtungsabstandshalters (72) auf dem Trennbereich (34, 62) befindet, wobei sich der erste Teil des Gate-Dichtungsabstandshalters (72) zwischen dem Luftspalt (82) und dem Trennbereich (34, 62) befindet.
  16. Verfahren nach einem der Ansprüche 12 bis 15, wobei die erste Epitaxialschicht (80) und die zweite Epitaxialschicht (86) Siliziumphosphor (SiP) aufweisen.
  17. Struktur mit: einem erhabenen Teil eines Substrats (32, 50); einer ersten Finne über dem erhabenen Teil des Substrats (32, 50); einer zweiten Finne über dem Substrat (32, 50), wobei die zweite Finne zu der ersten Finne benachbart ist; einem Trennbereich (34, 62), der die erste Finne und die zweite Finne umschließt; einer Gate-Struktur entlang Seitenwänden und über Oberseiten der ersten Finne und der zweiten Finne; einem Source-/Drain-Bereich auf der ersten Finne und der zweiten Finne, der an die Gate-Struktur angrenzt, wobei der Source-/Drain-Bereich eine erste Epitaxialschicht (80) und eine zweite Epitaxialschicht (86) über der ersten Epitaxialschicht (80) umfasst, und wobei die erste Epitaxialschicht und die zweite Epitaxialschicht jeweils eine nicht-abgeschrägte Oberseite (86A) aufweisen, und wobei die nicht-abgeschrägte Oberseite der ersten Epitaxialschicht (80) niedriger ist als eine Oberseite der ersten und zweiten Finnen und die nicht-abgeschrägte Oberseite der zweiten Epitaxialschicht (86) höher ist als die Oberseite der ersten und zweiten Finnen.
  18. Struktur nach Anspruch 17, wobei die Struktur einen Luftspalt (82), der den Source-/Drain-Bereich von einer Oberseite (80A, 80B, 86A) des erhabenen Teils des Substrats (32, 50) trennt, umfasst.
  19. Struktur nach Anspruch 17 oder 18, wobei der Source-/Drain-Bereich weiterhin Seiten (80C, 86C) mit abgeschrägten Oberflächen aufweist, wobei jede der Seiten (80C, 86C) zwei Abschrägungen, die nicht senkrecht und nicht parallel zu einer Hauptfläche des Substrats (32, 50) sind, und eine weitere Abschrägung hat, die senkrecht zu der Hauptfläche des Substrats (32, 50) ist.
  20. Struktur nach einem der Ansprüche 18 bis 19, wobei sich ein erster Teil des Trennbereichs (34, 62) zwischen dem Luftspalt (82) und der Oberseite des erhabenen Teils des Substrats (32, 50) befindet.
DE102017117795.7A 2016-11-29 2017-08-05 Fets und verfahren zu deren herstellung Active DE102017117795B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427599P 2016-11-29 2016-11-29
US62/427,599 2016-11-29
US15/640,645 2017-07-03
US15/640,645 US10453943B2 (en) 2016-11-29 2017-07-03 FETS and methods of forming FETS

Publications (2)

Publication Number Publication Date
DE102017117795A1 DE102017117795A1 (de) 2018-05-30
DE102017117795B4 true DE102017117795B4 (de) 2022-10-06

Family

ID=62117566

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017117795.7A Active DE102017117795B4 (de) 2016-11-29 2017-08-05 Fets und verfahren zu deren herstellung

Country Status (5)

Country Link
US (4) US10453943B2 (de)
KR (2) KR20180060949A (de)
CN (1) CN108122775B (de)
DE (1) DE102017117795B4 (de)
TW (1) TWI675407B (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453943B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10615276B2 (en) 2017-12-22 2020-04-07 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US11081395B2 (en) * 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor having air gap and method for manufacturing the same
CN110970487B (zh) * 2018-09-28 2023-12-19 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11101347B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11004725B2 (en) 2019-06-14 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device with gaps in the source/drain region
DE102020121514A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11527650B2 (en) * 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11862712B2 (en) * 2020-02-19 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases
US11769820B2 (en) * 2020-02-27 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a FinFET by forming a hollow area in the epitaxial source/drain region
TWI769683B (zh) * 2020-04-29 2022-07-01 台灣積體電路製造股份有限公司 半導體結構與其製造方法
US11489075B2 (en) * 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TWI755279B (zh) * 2021-02-09 2022-02-11 鴻海精密工業股份有限公司 鰭式場效應電晶體的製備方法
US20230066477A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
KR102644454B1 (ko) * 2021-11-05 2024-03-08 한국과학기술연구원 언더컷 구조의 트렌치를 포함하는 반도체 소자 및 이를 제조하는 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140183605A1 (en) 2012-12-28 2014-07-03 International Business Machines Corporation Semiconductor device and method of manufacturing the semiconductor device
US20160099150A1 (en) 2014-10-03 2016-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods of forming finfets
DE102016102679A1 (de) 2015-09-15 2017-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fets und verfahren zum bilden von fets

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100843244B1 (ko) * 2007-04-19 2008-07-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8043920B2 (en) * 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US9263339B2 (en) * 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8362574B2 (en) * 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8759184B2 (en) * 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9012310B2 (en) * 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US8946033B2 (en) * 2012-07-30 2015-02-03 International Business Machines Corporation Merged fin finFET with (100) sidewall surfaces and method of making same
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8946791B2 (en) * 2012-08-31 2015-02-03 International Business Machines Corporation Finfet with reduced parasitic capacitance
US9171762B2 (en) * 2012-11-01 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9443962B2 (en) * 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9142643B2 (en) * 2012-11-15 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial feature
US8956942B2 (en) * 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9831345B2 (en) * 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
WO2015034492A1 (en) * 2013-09-04 2015-03-12 Intel Corporation Methods and structures to prevent sidewall defects during selective epitaxy
US9166044B2 (en) * 2013-09-27 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Raised epitaxial LDD in MuGFETs
CN104576389B (zh) * 2013-10-14 2017-11-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其制作方法
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9257537B2 (en) * 2013-12-27 2016-02-09 International Business Machines Corporation Finfet including improved epitaxial topology
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US20150214369A1 (en) * 2014-01-27 2015-07-30 Globalfoundries Inc. Methods of forming epitaxial semiconductor material on source/drain regions of a finfet semiconductor device and the resulting devices
US9536746B2 (en) * 2014-03-13 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Recess and epitaxial layer to improve transistor performance
US9882027B2 (en) * 2014-03-27 2018-01-30 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
KR102017611B1 (ko) * 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102158961B1 (ko) * 2014-05-13 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9236452B2 (en) * 2014-05-23 2016-01-12 Globalfoundries Inc. Raised source/drain EPI with suppressed lateral EPI overgrowth
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
KR20160005550A (ko) 2014-07-07 2016-01-15 삼성전자주식회사 반도체 소자
US9530661B2 (en) * 2014-08-06 2016-12-27 Applied Materials, Inc. Method of modifying epitaxial growth shape on source drain area of transistor
KR102227128B1 (ko) * 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9583598B2 (en) * 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9515156B2 (en) * 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US9287382B1 (en) * 2014-11-06 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for semiconductor device
US9391201B2 (en) * 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9412850B1 (en) * 2015-01-15 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of trimming fin structure
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9543439B2 (en) * 2015-01-30 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
US9450047B1 (en) * 2015-03-31 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having enlarged regrowth regions and manufacturing method of the same
KR20160125208A (ko) * 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US9437496B1 (en) * 2015-06-01 2016-09-06 Globalfoundries Inc. Merged source drain epitaxy
US9853128B2 (en) * 2015-06-10 2017-12-26 Globalfoundries Inc. Devices and methods of forming unmerged epitaxy for FinFET device
US9355914B1 (en) * 2015-06-22 2016-05-31 International Business Machines Corporation Integrated circuit having dual material CMOS integration and method to fabricate same
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9472669B1 (en) * 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
CN106531793B (zh) * 2015-09-11 2021-06-15 联华电子股份有限公司 具有外延层的半导体结构
US9831116B2 (en) * 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
US9905641B2 (en) * 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10177143B2 (en) * 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
TWI683395B (zh) * 2015-11-12 2020-01-21 聯華電子股份有限公司 鰭狀電晶體與鰭狀電晶體的製作方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9754840B2 (en) * 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10438948B2 (en) * 2016-01-29 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures
US9570556B1 (en) * 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9780218B1 (en) * 2016-05-02 2017-10-03 United Microelectronics Corp. Bottom-up epitaxy growth on air-gap buffer
US9748380B1 (en) * 2016-06-29 2017-08-29 International Business Machines Corporation Vertical transistor including a bottom source/drain region, a gate structure, and an air gap formed between the bottom source/drain region and the gate structure
US10164098B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9859403B1 (en) * 2016-07-22 2018-01-02 Globalfoundries Inc. Multiple step thin film deposition method for high conformality
US10062772B2 (en) * 2016-07-26 2018-08-28 Globalfoundries Inc. Preventing bridge formation between replacement gate and source/drain region through STI structure
US10453943B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140183605A1 (en) 2012-12-28 2014-07-03 International Business Machines Corporation Semiconductor device and method of manufacturing the semiconductor device
US20160099150A1 (en) 2014-10-03 2016-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods of forming finfets
DE102016102679A1 (de) 2015-09-15 2017-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fets und verfahren zum bilden von fets

Also Published As

Publication number Publication date
CN108122775B (zh) 2020-12-11
KR20190099385A (ko) 2019-08-27
US10453943B2 (en) 2019-10-22
TWI675407B (zh) 2019-10-21
US11600715B2 (en) 2023-03-07
KR20180060949A (ko) 2018-06-07
DE102017117795A1 (de) 2018-05-30
US20200052098A1 (en) 2020-02-13
US20190109217A1 (en) 2019-04-11
US20180151703A1 (en) 2018-05-31
KR102099743B1 (ko) 2020-04-13
TW201830498A (zh) 2018-08-16
US11205713B2 (en) 2021-12-21
CN108122775A (zh) 2018-06-05
US20230215935A1 (en) 2023-07-06

Similar Documents

Publication Publication Date Title
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102018100062B4 (de) Drive-In-Verfahren für Gatestruktur-Passivierungsspezies und eine dadurch ausgebildete Struktur
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102018100286B4 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102017128255B4 (de) Halbleitervorrichtung und Verfahren
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102017117793B4 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102018124673A1 (de) Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen
DE102017126510A1 (de) Dotierung für Halbleitervorrichtung mit leitfähigem Merkmal
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102018108176A1 (de) Asymmetrische Source- und Drain-Strukturen in Halbleitervorrichtungen
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102020114655B4 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung
DE102018108598A1 (de) Halbleiterbauelement und Verfahren
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102017127205A1 (de) Halbleiter-bauelement und verfahren
DE102022100570A1 (de) Halbleitervorrichtungen mit parasitären kanalstrukturen
DE102017127770B4 (de) Halbleitervorrichtung und -verfahren
DE102020122407A1 (de) Untere seitliche ausdehnung von kontaktsteckern durch implantierung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final