DE102018124673A1 - Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen - Google Patents

Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen Download PDF

Info

Publication number
DE102018124673A1
DE102018124673A1 DE102018124673.0A DE102018124673A DE102018124673A1 DE 102018124673 A1 DE102018124673 A1 DE 102018124673A1 DE 102018124673 A DE102018124673 A DE 102018124673A DE 102018124673 A1 DE102018124673 A1 DE 102018124673A1
Authority
DE
Germany
Prior art keywords
fin
gate
region
sidewall
cutting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018124673.0A
Other languages
English (en)
Other versions
DE102018124673B4 (de
Inventor
Ryan Chia-Jen Chen
Ming-Ching Chang
Yi-Chun Chen
Yu-Hsien Lin
Li-Wei Yin
Tzu-Wen Pan
Cheng-Chung Chang
Shao-Hua Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018124673A1 publication Critical patent/DE102018124673A1/de
Application granted granted Critical
Publication of DE102018124673B4 publication Critical patent/DE102018124673B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Es werden Verfahren zum Schneiden von Finnen und damit hergestellte Strukturen beschrieben. Bei einer Ausführungsform weist eine Struktur eine erste Finne und eine zweite Finne auf einem Substrat sowie eine Finnen-Schneidefüllstruktur auf, die zwischen der ersten Finne und der zweiten Finne angeordnet ist. Die erste Finne und die zweite Finne sind längs ausgerichtet. Die Finnen-Schneidefüllstruktur weist einen Belag auf einer ersten Seitenwand der ersten Finne und ein isolierendes Füllmaterial auf einer ersten Seitenwand des Belags und auf einer zweiten Seitenwand der ersten Finne auf. Der Belag ist außerdem auf einer Oberfläche der ersten Finne zwischen der ersten Seitenwand der ersten Finne und der zweiten Seitenwand der ersten Finne angeordnet.

Description

  • Prioritätsanspruch und Querverweis
  • Die vorliegende Anmeldung beansprucht die Priorität der am 29. November 2017 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/591.905 und dem Titel „Semiconductor Cutting Process and Structures Formed Thereby“ („Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen“), die durch Bezugnahme aufgenommen ist.
  • Hintergrund der Erfindung
  • Da die Halbleiterindustrie in dem Streben nach höherer Bauelementdichte, höherer Leistung und niedrigeren Kosten bis in den Bereich der Nanometer-Technologie-Prozessknoten vorgedrungen ist, haben Herausforderungen durch Herstellungs- und Entwurfsprobleme zur Entwicklung von dreidimensionalen Entwürfen geführt, wie etwa Finnen-Feldeffekttransistoren (FinFETs). FinFET-Bauelemente weisen typischerweise Halbleiterfinnen mit hohen Seitenverhältnissen auf, in denen Kanal- und Source-/Drain-Bereiche hergestellt sind. Über und entlang den Seiten der Finnenstruktur wird ein Gate hergestellt (z. B. gewickelt), wobei der Vorteil der vergrößerten Oberfläche des Kanals genutzt wird, um schnellere, zuverlässigere und besser gesteuerte Transistor-Halbleiter-Bauelemente herzustellen. Bei einigen Bauelementen können verspannte Materialien in den Source-/Drain-Bereichen des FinFET unter Verwendung von zum Beispiel Siliziumgermanium (SiGe), Siliziumcarbid (SiC) und/oder Siliziumphosphid (SiP) zum Erhöhen der Trägerbeweglichkeit verwendet werden.
  • Kurze Beschreibung der Zeichnungen
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
  • Die 1 bis 18C sind verschiedene Darstellungen von jeweiligen Zwischenstrukturen auf Zwischenstufen in einem beispielhaften Verfahren zum Herstellen eines Halbleiter-Bauelements mit einem oder mehreren FinFETs gemäß einigen Ausführungsformen.
  • 19 ist ein Teil der Schnittansicht der Zwischenstruktur von 18B gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Hier werden Verfahren zum Schneiden von Finnen in Halbleiter-Bauelementen, wie etwa Finnen-Feldeffekttransistoren (FinFETs), beschrieben. Im Allgemeinen wird ein Finnenschneideprozess durchgeführt, nachdem eine Ersatz-Gate-Struktur hergestellt und geschnitten worden ist. Der Finnenschneideprozess kann das Trimmen (Beschneiden) einer Finne und das Herstellen eines Belags oder einer Auskleidung (Liner) auf Seitenwänden der Finne nach ihrem Trimmen umfassen. Nach dem Herstellen des Belags wird die Finne geschnitten. Dadurch kann, neben anderen Vorzügen, ein Prozessfenster für einige Prozesse vergrößert werden, und bei einigen Spannungsmanipulations-Anwendungen kann eine Entspannung oder Spannungsrelaxation in einer Finne vermieden werden.
  • Hier werden beispielhafte Ausführungsformen in Zusammenhang mit FinFETs beschrieben. Implementierungen einiger Aspekte der vorliegenden Erfindung können auch in anderen Verfahren und/oder anderen Vorrichtungen verwendet werden. Außerdem werden einige Abwandlungen der beispielhaften Verfahren und Strukturen beschrieben. Ein Durchschnittsfachmann dürfte problemlos weitere Modifikationen erkennen, die vorgenommen werden können, und diese sollen innerhalb des Schutzumfangs weiterer Ausführungsformen liegen. Verfahrensausführungsformen können hier zwar in einer bestimmten Reihenfolge beschrieben sein, aber es können verschiedene andere Verfahrensausführungsformen in jeder logischen Reihenfolge ausgeführt werden und sie können weniger oder mehr Schritte als hier beschrieben umfassen.
  • In einigen Fällen können bei den beschriebenen Ausführungsformen verschiedene Verluste, z. B. Höhenverluste, während der Bearbeitung auftreten. Diese Verluste sind möglicherweise nicht explizit in den Figuren gezeigt oder sie werden hier nicht explizit beschrieben, aber ein Durchschnittsfachmann dürfte ohne weiteres verstehen, wie solche Verluste entstehen können. Diese Verluste können durch einen Planarisierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP); einen Ätzprozess, wenn zum Beispiel die Struktur, die den Verlust erfährt, nicht das Hauptziel der Ätzung ist; und andere Prozesse entstehen.
  • Die 1, 2, 3A und 3B, 4A bis 4D und 5A bis 18C sind verschiedene Darstellungen von jeweiligen Zwischenstrukturen auf Zwischenstufen in einem beispielhaften Verfahren zum Herstellen eines Halbleiter-Bauelements mit einem oder mehreren FinFETs gemäß einigen Ausführungsformen. 1 zeigt in einer Schnittansicht ein Halbleitersubstrat 20 mit einer darüber hergestellten verspannten Halbleiterschicht 22. Das Halbleitersubstrat 20 kann ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator(SOI)-Substrat oder dergleichen sein oder aufweisen, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Im Allgemeinen weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial auf, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Andere Substrate, wie etwa ein mehrschichtiges oder Gradient-Substrat, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats Folgendes aufweisen: einen elementaren Halbleiter, wie etwa Silizium (Si) und Germanium (Ge); einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP oder GaInAsP; oder eine Kombination davon.
  • Die verspannte Halbleiterschicht 22 kann eine Druckspannung oder eine Zugspannung haben. In einigen Beispielen wird die verspannte Halbleiterschicht 22 durch heteroepitaxiales Aufwachsen auf dem Halbleitersubstrat 20 verspannt. Das heteroepitaxiale Aufwachsen umfasst im Allgemeinen zum Beispiel das epitaxiale Aufwachsen eines Aufwachsmaterials, das eine natürliche Gitterkonstante hat, die von der des Substratmaterials an der Fläche verschieden ist, auf der das Aufwachsmaterial epitaxial aufgewachsen wird. Durch pseudomorphisches Aufwachsen des Aufwachsmaterials auf dem Substratmaterial kann das Substratmaterial verspannt werden. Wenn die natürliche Gitterkonstante des Aufwachsmaterials größer als die des Substratmaterials ist, kann die Spannung in dem Aufwachsmaterial eine Druckspannung sein, und wenn die natürliche Gitterkonstante des Aufwachsmaterials kleiner als die des Substratmaterials ist, kann die Spannung in dem Aufwachsmaterial eine Zugspannung sein. Zum Beispiel kann pseudomorphisches Aufwachsen von SiGe auf relaxiertes Silizium dazu führen, dass das SiGe eine Druckspannung hat, und pseudomorphisches Aufwachsen von SiC auf relaxiertes Silizium kann dazu führen, dass das SiC eine Zugspannung hat.
  • In anderen Beispielen kann die verspannte Halbleiterschicht 22 heteroepitaxial auf ein Opfersubstrat aufgewachsen werden und auf das Halbleitersubstrat 20 übertragen werden. Die verspannte Halbleiterschicht 22 kann pseudomorphisch auf das Opfersubstrat aufgewachsen werden, wie vorstehend dargelegt worden ist. Dann kann die verspannte Halbleiterschicht 22 mit geeigneten Verfahren (z. B. durch Waferbonden) an das Halbleitersubstrat 20 gebondet werden. Anschließend kann das Opfersubstrat von der verspannten Halbleiterschicht 22 entfernt werden, zum Beispiel mit dem SIMOX-Verfahren (SIMOX: Trennung durch Implantieren von Sauerstoff) oder mit einem anderen Entfernungsverfahren. Die verspannte Halbleiterschicht 22, die an das Halbleitersubstrat 20 gebondet ist, kann dann zum Beispiel mit einer chemisch-mechanische Polierung (CMP) poliert werden. Durch das Übertragen der verspannten Halbleiterschicht 22 in dieser Weise ist eine höhere Flexibilität beim Auswählen von Materialien, Spannungen, Materialdicken und dergleichen möglich, da die Spannung der verspannten Halbleiterschicht 22 nicht von dem Wachstum des Halbleitersubstrats 20 abhängig ist.
  • Die verspannte Halbleiterschicht 22 kann Silizium, Siliziumgermanium (Si1-xGex, wobei x etwa 0 bis 100 sein kann), Siliziumcarbid, reines oder im Wesentlichen reines Germanium, ein III-V-Verbindungshalbleiter, ein II-VI-Verbindungshalbleiter oder dergleichen sein oder aufweisen. Materialien zum Herstellen eines III-V-Verbindungshalbleiters sind zum Beispiel InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen. Außerdem kann die verspannte Halbleiterschicht 22 durch metallorganische chemische Aufdampfung (MOCVD), Molekularstrahlepitaxie (MBE), Flüssigphasenepitaxie (LPE), Dampfphasenepitaxie (VPE), selektives epitaxiales Aufwachsen (SEG) oder dergleichen oder eine Kombination davon auf das Halbleitersubstrat 20 oder ein Opfersubstrat epitaxial aufgewachsen werden. Eine Dicke der verspannten Halbleiterschicht 22 kann etwa 30 nm bis etwa 50 nm betragen.
  • 2 zeigt in einer Schnittansicht die Herstellung von Finnen 24 in der verspannten Halbleiterschicht 22 und/oder dem Halbleitersubstrat 20. In einigen Beispielen wird eine Maske (z. B. eine Hartmaske) zum Herstellen der Finnen 24 verwendet. Zum Beispiel werden eine oder mehrere Maskenschichten über der verspannten Halbleiterschicht 22 abgeschieden und anschließend zu der Maske strukturiert. In einigen Beispielen können die eine oder die mehreren Maskenschichten Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie können durch chemische Aufdampfung (CVD), physikalische Aufdampfung (PVD), Atomlagenabscheidung (ALD) oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Anschließend können die eine oder die mehreren Maskenschichten durch Fotolithografie strukturiert werden. Zum Beispiel kann ein Fotoresist auf der einen oder den mehreren Maskenschichten z. B. durch Schleuderbeschichtung hergestellt werden, und anschließend kann es durch Belichten unter Verwendung einer entsprechenden Fotomaske strukturiert werden. Dann können belichtete oder unbelichtete Teile des Fotoresists entfernt werden, je nachdem, ob ein positives oder ein negatives Resist verwendet wird. Die Struktur des Fotoresists kann dann auf die eine oder die mehreren Maskenschichten zum Beispiel mit einem geeigneten Ätzprozess übertragen werden, sodass die Maske entsteht. Der Ätzprozess kann reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE), induktiv gekoppeltes Plasma-Ätzen (ICP) oder dergleichen oder eine Kombination davon umfassen. Der Ätzprozess kann anisotrop sein. Anschließend wird das Fotoresist zum Beispiel in einem Ablösungs- oder Nassstrippprozess entfernt.
  • Unter Verwendung der Maske können die verspannte Halbleiterschicht 22 und/oder das Halbleitersubstrat 20 so geätzt werden, dass Gräben zwischen benachbarten Paaren von Finnen 24 entstehen und die Finnen 24 aus dem Halbleitersubstrat 20 herausragen. Der Ätzprozess kann RIE, NBE, ICP oder dergleichen oder eine Kombination davon umfassen. Der Ätzprozess kann anisotrop sein. Die Gräben können mit einer Tiefe von etwa 80 nm bis etwa 150 nm von der Oberseite der verspannten Halbleiterschicht 22 erzeugt werden.
  • Zwar werden hier Beispiele in Zusammenhang mit der Spannungsmanipulation für die Finnen 24 beschrieben (z. B. weisen die Finnen 24 jeweilige Teile der verspannten Halbleiterschicht 22 auf), aber andere Beispiele brauchen diese Spannungsmanipulation nicht zu implementieren. Zum Beispiel können die Finnen 24 aus einem massiven Halbleitersubstrat (z. B. dem Halbleitersubstrat 20) ohne eine verspannte Halbleiterschicht hergestellt werden. Außerdem kann der Übersichtlichkeit halber die verspannte Halbleiterschicht 22 in nachfolgenden Figuren fehlen. Bei einigen Ausführungsformen, bei denen eine solche verspannte Halbleiterschicht für die Spannungsmanipulation implementiert wird, kann die verspannte Halbleiterschicht 22 als Teil der Finnen 24 vorhanden sein, auch wenn sie nicht explizit dargestellt ist, und bei einigen Ausführungsformen, bei denen eine solche verspannte Halbleiterschicht für die Spannungsmanipulation nicht implementiert wird, können die Finnen 24 aus dem Halbleitersubstrat 20 hergestellt werden.
  • Die 3A und 3B zeigen in einer Schnittansicht bzw. einer Draufsicht die Herstellung von Trennbereichen 26 jeweils in einem entsprechenden Graben. Die Trennbereiche 26 können ein Isoliermaterial, wie etwa ein Oxid (z. B. Siliziumoxid), ein Nitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und das Isoliermaterial kann durch chemische Aufdampfung mit einem Plasma hoher Dichte (HDPCVD), fließfähige CVD (FCVD) (z. B. eine Materialabscheidung auf CVD-Basis in einem Remote-Plasma-System und Nachhärten zum Umwandeln in ein anderes Material, wie etwa ein Oxid) oder dergleichen oder eine Kombination davon abgeschieden werden. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren hergestellt werden. Bei der dargestellten Ausführungsform weisen die Trennbereiche 26 Siliziumoxid auf, das durch FCVD abgeschieden wird. Mit einem Planarisierungsprozess, wie etwa einer CMP, können überschüssiges Isoliermaterial und eine verbliebene Maske (die z. B. zum Ätzen der Gräben und zum Herstellen der Finnen 24 verwendet wird) entfernt werden, um Oberseiten des Isoliermaterials koplanar mit Oberseiten der Finnen 24 zu erzeugen. Das Isoliermaterial kann dann ausgespart werden, um die Trennbereiche 26 herzustellen. Das Isoliermaterial wird so ausgespart, dass die Finnen 24 zwischen benachbarten Trennbereichen 26 herausragen, wodurch die Finnen 24 als aktive Bereiche auf dem Halbleitersubstrat 20 zumindest teilweise abgegrenzt werden. Das Isoliermaterial kann mit einem geeigneten Trocken- oder Nassätzprozess ausgespart werden, wie etwa einem Prozess, der für das Isoliermaterial selektiv ist. Außerdem können die Oberseiten der Trennbereiche 26 eine ebene Oberfläche haben, wie gezeigt ist, oder sie können eine konvexe Oberfläche, eine konkave (wie etwa eine vertiefte) Oberfläche oder eine Kombination davon haben, die durch einen Ätzprozess entstehen können. Wie in der Draufsicht von 3B gezeigt ist, verlaufen die Finnen 24 längs über das Halbleitersubstrat 20. Die Finnen 24 können eine Höhe von etwa 30 nm bis etwa 50 nm von Oberseiten von jeweiligen benachbarten Trennbereichen 26 haben. Zum Beispiel kann sich die Grenzfläche zwischen der verspannten Halbleiterschicht 22 und dem Halbleitersubstrat 20, die jeder Finne 24 entspricht, unter Oberseiten der Trennbereiche 26 befinden.
  • Ein Durchschnittsfachmann dürfte problemlos erkennen, dass die Prozesse, die unter Bezugnahme auf die 1 bis 3B beschrieben werden, lediglich Beispiele dafür sind, wie die Finnen 24 hergestellt werden. Bei anderen Ausführungsformen kann eine dielektrische Schicht über einer Oberseite des Halbleitersubstrats 20 hergestellt werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaxiale Strukturen können in den Gräben epitaxial aufgewachsen werden (z. B. ohne Spannungsmanipulation); und die dielektrische Schicht kann so ausgespart werden, dass die homoepitaxialen Strukturen aus der dielektrischen Schicht herausragen, um Finnen zu bilden. Bei noch weiteren Ausführungsformen können heteroepitaxiale Strukturen für die Finnen verwendet werden. Zum Beispiel können die Finnen 24 ausgespart werden (z. B. nach dem Planarisieren des Isoliermaterials der Trennbereiche 26 und vor dem Aussparen des Isoliermaterials), und ein Material, das von dem der Finnen verschieden ist, kann an ihrer Stelle epitaxial aufgewachsen werden. Bei einer noch weiteren Ausführungsform kann eine dielektrische Schicht über einer Oberseite des Halbleitersubstrats 20 hergestellt werden; Gräben können durch die dielektrische Schicht geätzt werden; heteroepitaxiale Strukturen können in den Gräben unter Verwendung eines Materials aufgewachsen werden, das von dem des Halbleitersubstrats 20 verschieden ist (z. B. mit einer Spannungsmanipulation); und die dielektrische Schicht kann so ausgespart werden, dass die heteroepitaxialen Strukturen aus der dielektrischen Schicht herausragen, um Finnen zu bilden. Bei einigen Ausführungsformen, bei denen homoepitaxiale oder heteroepitaxiale Strukturen epitaxial aufgewachsen werden, können die Aufwachsmaterialien während des Aufwachsens in situ dotiert werden, was ein vorheriges Implantieren der Finnen überflüssig machen kann, obwohl eine In-situ-Dotierung und eine Implantationsdotierung gemeinsam verwendet werden können. Außerdem kann es vorteilhaft sein, ein Material für ein n-Bauelement, das von einem Material für ein p-Bauelement verschieden ist, epitaxial aufzuwachsen.
  • Die 4A bis 4D zeigen die Herstellung von Dummy-Gate-Stapeln auf den Finnen 24. Die 4A und 4B zeigen Schnittansichten, 4C zeigt eine Draufsicht, und 4D zeigt eine dreidimensionale Darstellung. 4D zeigt Schnittansichten A - A und B - B. Die 1, 2, 3A und 4A und die nachfolgenden Figuren, die mit dem Buchstaben „A“ enden, zeigen Schnittansichten auf verschiedenen Bearbeitungsstufen, die dem Querschnitt A - A entsprechen, und 4B und die nachfolgenden Figuren, die mit dem Buchstaben „B“ enden, zeigen Schnittansichten auf verschiedenen Bearbeitungsstufen, die dem Querschnitt B - B entsprechen. In einigen Figuren können einige Bezugszahlen von Komponenten oder Elementen, die dort gezeigt sind, weggelassen sein, um eine Verunklarung anderer Komponenten oder Elemente zu vermeiden
  • Die Dummy-Gate-Stapel sind über den Finnen 24 angeordnet und verlaufen seitlich senkrecht zu diesen. Jeder Dummy-Gate-Stapel, oder allgemeiner, jede Gate-Struktur weist ein oder mehrere Grenzflächendielektrika 28, Dummy-Gates 30 und Masken 32 auf. Das eine oder die mehreren Grenzflächendielektrika 28, die Dummy-Gates 30 und die Masken 32 für die Dummy-Gate-Stapel können dadurch hergestellt werden, dass nacheinander jeweilige Schichten hergestellt werden, die anschließend zu den Dummy-Gate-Stapeln strukturiert werden. Zum Beispiel kann eine Schicht für das eine oder die mehreren Grenzflächendielektrika 28 Siliziumoxid, Siliziumnitrid oder dergleichen oder Multischichten davon sein oder aufweisen, und sie kann thermisch und/oder chemisch auf die Finnen 24 aufgewachsen werden, wie gezeigt ist, oder sie kann konform abgeschieden werden, wie etwa durch plasmaunterstützte chemische Aufdampfung (PECVD), ALD oder mit einem anderen Abscheidungsverfahren. Eine Schicht für die Dummy-Gates 30 kann Silizium (z. B. Polysilizium) oder ein anderes Material sein oder aufweisen, das durch CVD, PVD oder mit einem anderen Abscheidungsverfahren abgeschieden wird. Eine Schicht für die Masken 32 kann Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, das/die durch CVD, PVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden wird. Anschließend können die Schichten für die Masken 32, die Dummy-Gates 30 und das eine oder die mehreren Grenzflächendielektrika 28 zum Beispiel durch Fotolithografie und einen oder mehrere Ätzprozesse strukturiert werden, wie vorstehend dargelegt worden ist, um die Masken 32, die Dummy-Gates 30 und das eine oder die mehreren Grenzflächendielektrika 28 für jeden Dummy-Gate-Stapel herzustellen.
  • Bei einigen Ausführungsformen können nach dem Herstellen der Dummy-Gate-Stapel leicht dotierte Drain-Bereiche (LDD-Bereiche) (nicht einzeln dargestellt) in den Finnen 24 hergestellt werden. Zum Beispiel können unter Verwendung der Dummy-Gate-Stapel als Masken Dotanden in die Finnen 24 implantiert werden. Beispielhafte Dotanden für die LDD-Bereiche sind Bor für ein p-Bauelement und Phosphor oder Arsen für ein n-Bauelement, aber es können auch andere Dotanden verwendet werden. Die LDD-Bereiche können eine Dotierungskonzentration von etwa 1015 cm-3 bis etwa 1017 cm-3 haben.
  • Der Querschnitt A - A verläuft entlang einem Gate-Stapel, durch den in den nachfolgenden Figuren und der nachfolgenden Beschreibung ein Schnitt gemacht wird. Der Querschnitt B - B verläuft entlang einer Finne 24 (z. B. entlang einer Kanalrichtung in der Finne 24), durch die in den nachfolgenden Figuren und der nachfolgenden Beschreibung ein Schnitt gemacht wird. Der Querschnitt A - A und der Querschnitt B - B sind zueinander senkrecht.
  • Die 5A bis 5C zeigen die Herstellung von Gate-Abstandshaltern 34. Die Gate-Abstandshalter 34 werden entlang Seitenwänden der Dummy-Gate-Stapel (z. B. Seitenwänden des einen oder der mehreren Grenzflächendielektrika 28, der Dummy-Gates 30 und der Masken 32) und über den Finnen 24 hergestellt. Außerdem können übrige Gate-Abstandshalter 34 entlang freiliegenden Seitenwänden der Finnen 24 hergestellt werden, wie in den Figuren gezeigt ist. Die Gate-Abstandshalter 34 können zum Beispiel durch konformes Abscheiden einer oder mehrerer Schichten für die Gate-Abstandshalter 34 und anisotropes Ätzen der einen oder mehreren Schichten hergestellt werden. Die eine oder die mehreren Schichten für die Gate-Abstandshalter 34 können Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid, Siliziumoxidcarbid oder dergleichen, Multischichten davon oder eine Kombination davon sein oder aufweisen, und der Ätzprozess kann RIE, NBE oder einen anderen Ätzprozess umfassen.
  • Dann werden Source-/Drain-Bereiche 36 in den Finnen 24 hergestellt. In den Finnen 24 werden auf gegenüberliegenden Seiten der Dummy-Gate-Stapel Aussparungen für die Source-/Drain-Bereiche 36 erzeugt. Das Aussparen kann mit einem Ätzprozess erfolgen. Der Ätzprozess kann isotrop oder anisotrop sein, oder er kann außerdem für eine oder mehrere Kristallebenen der verspannten Halbleiterschicht 22 und/oder das Halbleitersubstrat 20 selektiv sein. Somit können die Aussparungen auf Grund des implementierten Ätzprozesses verschiedene Querschnittsprofile haben. Der Ätzprozess kann ein Trockenätzprozess, wie etwa RIE, NBE oder dergleichen, oder ein Nassätzprozess sein, zum Beispiel unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniakhydrat (NH4OH) oder eines anderen Ätzmittels. Die Aussparungen können mit einer Tiefe von etwa o nm bis etwa 80 nm von jeweiligen Oberseiten der Finnen 24 in die Finnen 24 hineinreichen. Zum Beispiel können die Aussparungen in einigen Fällen nicht unter einem Niveau der Oberseiten von benachbarten Trennbereichen 26 und/oder unter der Grenzfläche zwischen der verspannten Halbleiterschicht 22 und dem Halbleitersubstrat 20 verlaufen, aber in anderen Fällen können die Aussparungen unter der Ebene der Oberseiten von benachbarten Trennbereichen 26 und/oder der Grenzfläche verlaufen.
  • In den Aussparungen in den Finnen 24 werden epitaxiale Source-/Drain-Bereiche 36 hergestellt. Die epitaxialen Source-/Drain-Bereiche 36 können Siliziumgermanium (Si1-xGex, wobei x etwa o bis 100 sein kann), Siliziumcarbid, Siliziumphosphor, Silizium-Kohlenstoff-Phosphor, reines oder im Wesentlichen reines Germanium, ein III-V-Verbindungshalbleiter, ein II-VI-Verbindungshalbleiter oder dergleichen sein oder aufweisen. Materialien zum Herstellen eines III-V-Verbindungshalbleiters sind zum Beispiel InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen. Die epitaxialen Source-/Drain-Bereiche 36 können durch epitaxiales Aufwachsen eines Materials in den Aussparungen durch MOCVD, MBE, LPE, VPE, SEG oder dergleichen oder eine Kombination davon hergestellt werden. Auf Grund der Blockierung durch die Trennbereiche 26 und/oder die übrigen Gate-Abstandshalter 34 können in Abhängigkeit von der Tiefe der Aussparung, in der die epitaxialen Source-/Drain-Bereiche 36 hergestellt werden, diese zunächst vertikal in den Aussparungen aufgewachsen werden, und in dieser Zeit wachsen sie nicht vertikal. Nachdem die Aussparungen in den Trennbereichen 26 und/oder den übrigen Gate-Abstandshaltern 34 vollständig gefüllt worden sind, können die epitaxialen Source-/Drain-Bereiche 36 sowohl vertikal als auch horizontal wachsen, sodass Abschrägungen entstehen, die Kristallebenen des Halbleitersubstrats 20 entsprechen können. Die epitaxialen Source-/Drain-Bereiche 36 können in Bezug zu den Finnen 24 erhaben sein, wie durch Strichlinien in 5B gezeigt ist. In einigen Beispielen werden unterschiedliche Materialien für epitaxiale Source-/Drain-Bereiche für p-Bauelemente und n-Bauelemente verwendet. Durch geeignetes Maskieren während des Aussparens oder epitaxialen Aufwachsens können unterschiedliche Materialien in unterschiedlichen Bauelementen verwendet werden.
  • In einem Beispiel sind die FinFETs, die gerade hergestellt werden, p-FinFETs, wie gezeigt ist; das Halbleitersubstrat 20 ist ein massives Siliziumsubstrat; die verspannte Halbleiterschicht 22 ist Si1-xGex; und die epitaxialen Source-/Drain-Bereiche 36 sind Si1-yGey, wobei y von einer Unterseite der Aussparung, in der der jeweilige epitaxiale Source-/Drain-Bereich 36 aufgewachsen wird, zu einer Oberseite des epitaxialen Source-/Drain-Bereichs 36 ansteigt (z. B. in diskreten Schritten, kontinuierlich oder in einer Kombination davon), wobei jede Instanz von y größer als jede Instanz von x ist. Außerdem erstrecken sich in diesem Beispiel die Aussparungen nicht bis zu einem Niveau unter den Oberseiten von benachbarten Trennbereichen 26, und sie verlaufen nicht unter der Grenzfläche zwischen der verspannten Halbleiterschicht 22 und dem Halbleitersubstrat 20. Ein Durchschnittsfachmann dürfte Modifikationen erkennen, die implementiert werden können, um zum Beispiel n-FinFETs und/oder andere p-FinFETs zu erzielen.
  • Zusätzlich oder alternativ werden in einigen Beispielen die Source-/Drain-Bereiche 36 durch Implantieren von Dotanden in die Finnen 24 unter Verwendung der Dummy-Gate-Stapel und der Gate-Abstandshalter 34 als Masken hergestellt. Somit können Source-/Drain-Bereiche 36 durch Implantation auf gegenüberliegenden Seiten jedes Dummy-Gate-Stapels hergestellt werden. Epitaxiale Source-/Drain-Bereiche 36 können durch In-situ-Dotierung während des epitaxialen Aufwachsens und/oder durch Implantation nach dem epitaxialen Aufwachsen dotiert werden. Somit können Source-/Drain-Bereiche 36 durch epitaxiales Aufwachsen, und gegebenenfalls mit einer Implantation, auf gegenüberliegenden Seiten jedes Dummy-Gate-Stapels hergestellt werden. Beispielhafte Dotanden für die Source-/Drain-Bereiche 36 können Bor für ein p-Bauelement und Phosphor oder Arsen für ein n-Bauelement sein oder aufweisen, aber es können auch andere Dotanden verwendet werden. Die Source-/Drain-Bereiche 36 können eine Dotierungskonzentration von etwa 1019 cm-3 bis etwa 1021 cm-3 haben.
  • Die 6A bis 6C zeigen die Herstellung einer Ätzstoppschicht (ESL) 38 und eines ersten Zwischenschicht-Dielektrikums (ILD) 40. Im Allgemeinen kann eine ESL einen Mechanismus zum Beenden einer Ätzung in einem Ätzprozess bereitstellen, wenn z. B. Kontakte oder Durchkontaktierungen hergestellt werden. Eine ESL kann aus einem dielektrischen Material bestehen, das eine andere Ätzselektivität als benachbarte Schichten, zum Beispiel das Zwischenschicht-Dielektrikum, hat. Die ESL 38 kann über den Finnen 24, den Dummy-Gate-Stapeln, den Gate-Abstandshaltern 34 und den Trennbereichen 26 konform abgeschieden werden. Die ESL 38 kann Siliziumnitrid, Siliziumcarbonitrid, Silizium-Kohlenstoff-Oxid, Kohlenstoffnitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie kann durch CVD, PECVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden wird. Über der ESL 38 wird das erste ILD 40 abgeschieden. Das erste ILD 40 kann Siliziumdioxid, ein dielektrisches Low-k-Material (z. B. ein Material mit einer Dielektrizitätskonstante, die niedriger als die von Siliziumdioxid ist), wie etwa Siliziumoxidnitrid, Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertes Silicatglas (USG), Fluorsilicatglas (FSG), Organosilicatglas (OSG), SiOxCy, Aufschleuderglas, Aufschleuderpolymer, Silizium-Kohlenstoff-Material, eine Verbindung davon, ein Verbundstoff davon oder dergleichen oder eine Kombination davon sein oder aufweisen. Das erste ILD 40 kann durch Aufschleudern, CVD, FCVD, PECVD, PVD oder mit einem anderen Abscheidungsverfahren abgeschieden werden.
  • Die ESL 38 und das erste ILD 40 werden so hergestellt, dass ihre Oberseiten koplanar mit Oberseiten der Dummy-Gates 30 sind. Ein Planarisierungsprozess, wie etwa eine CMP, kann durchgeführt werden, um die Oberseiten des ersten ILD 40 und der ESL 38 auf gleiche Höhe mit den Oberseiten der Dummy-Gates 30 zu bringen. Durch die CMP kann auch die Maske 32 (und in einigen Fällen obere Teile der Gate-Abstandshalter 34) auf den Dummy-Gates 30 entfernt werden. Somit werden die Oberseiten der Dummy-Gates 30 durch das erste ILD 40 und die ESL 38 freigelegt.
  • Die 7A bis 7C zeigen das Entfernen der Dummy-Gate-Stapel. Die Dummy-Gates 30 und das eine oder die mehreren Grenzflächendielektrika 28 werden zum Beispiel mit einem oder mehreren Ätzprozessen entfernt. Die Dummy-Gates 30 können mit einem Ätzprozess entfernt werden, der für die Dummy-Gates 30 selektiv ist, wobei die eine oder die mehreren Grenzflächendielektrika 28 als ESLs fungieren, und anschließend können das eine oder die mehreren Grenzflächendielektrika 28 mit einem anderen Ätzprozess entfernt werden, der für das eine oder die mehreren Grenzflächendielektrika 28 selektiv ist. Die Ätzprozesse können zum Beispiel RIE, NBE, ein Nassätzprozess oder ein anderer Ätzprozess sein. Aussparungen 42 werden zwischen den Gate-Abstandshaltern 34 erzeugt, wo die Dummy-Gate-Stapel entfernt werden, und Kanalbereiche der Finnen 24 werden durch die Aussparungen 42 freigelegt.
  • Die 8A bis 8C zeigen die Herstellung von Ersatz-Gate-Strukturen in den Aussparungen 42. Die Ersatz-Gate-Strukturen weisen jeweils eine dielektrische Gate-Schicht 44, eine oder mehrere optionale konforme Schichten 46 und eine Gate-Elektrode 48 auf.
  • Die dielektrische Gate-Schicht 44 wird in den Aussparungen 42 (z. B. auf den Oberseiten der Trennbereiche 26, den Seitenwänden und Oberseiten der Finnen 24 entlang den Kanalbereichen und auf Seitenwänden der Gate-Abstandshalter 34) und auf den Oberseiten der Gate-Abstandshalter 34, der ESL 38 und des ersten ILD 40 konform abgeschieden. Die dielektrische Gate-Schicht 44 kann Siliziumoxid, Siliziumnitrid, ein dielektrisches High-k-Material, Multischichten davon oder ein anderes dielektrisches Material sein oder aufweisen. Das dielektrische High-k-Material kann einen k-Wert haben, der größer als etwa 7,0 ist, und kann ein Metalloxid von, oder ein Metallsilicat von, Hafnium (Hf), Aluminium (Al), Zirconium (Zr), Lanthan (La), Magnesium (Mg), Barium (Ba), Titan (Ti), Blei (Pb), Multischichten davon oder eine Kombination davon aufweisen. Die dielektrische Gate-Schicht 44 kann durch ALD, PECVD, MBD oder mit einem anderen Abscheidungsverfahren abgeschieden werden.
  • Dann können die eine oder die mehreren optionalen konformen Schichten 46 konform (und nacheinander, wenn mehr als eine Schicht abzuscheiden ist) auf der dielektrischen Gate-Schicht 44 abgeschieden werden. Die eine oder die mehreren optionalen konformen Schichten 46 können eine oder mehrere Sperr- und/oder Verkappungsschichten und eine oder mehrere Austrittsarbeits-Einstellungsschichten umfassen. Die eine oder die mehreren Sperr- und/oder Verkappungsschichten können ein Nitrid, Siliziumnitrid, Kohlenstoffnitrid und/oder Aluminiumnitrid von Tantal und/oder Titan; ein Nitrid, Kohlenstoffnitrid und/oder Carbid von Wolfram; oder dergleichen oder eine Kombination davon aufweisen, und sie können durch ALD, PECVD, MBD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Die eine oder die mehreren Austrittsarbeits-Einstellungsschichten können ein Nitrid, Siliziumnitrid, Kohlenstoffnitrid, Aluminiumnitrid, Aluminiumoxid und/oder Aluminiumcarbid von Titan und/oder Tantal; ein Nitrid, Kohlenstoffnitrid und/oder Carbid von Wolfram; Cobalt; Platin; oder dergleichen oder eine Kombination davon aufweisen, und sie können durch ALD, PECVD, MBD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. In einigen Beispielen wird eine Verkappungsschicht (z. B. eine TiN-Schicht) konform auf der dielektrischen Gate-Schicht 44 hergestellt; eine erste Sperrschicht (z. B. eine TaN-Schicht) wird konform auf der Verkappungsschicht hergestellt; eine oder mehrere Austrittsarbeits-Einstellungsschichten werden nacheinander konform auf der ersten Sperrschicht hergestellt; und eine zweite Sperrschicht (z. B. eine TiN-Schicht) wird auf der einen oder den mehreren Austrittsarbeits-Einstellungsschichten hergestellt.
  • Eine Schicht für die Gate-Elektroden 48 wird über der dielektrischen Gate-Schicht 44 und, falls implementiert, der einen oder den mehreren optionalen konformen Schichten 46 hergestellt. Die Schicht für die Gate-Elektroden 48 kann übrige Aussparungen 42 füllen, wo die Dummy-Gate-Stapel entfernt worden sind. Die Schicht für die Gate-Elektroden 48 kann ein metallhaltiges Material, wie etwa Wolfram, Cobalt, Aluminium, Ruthenium oder Kupfer, Multischichten davon, eine Kombination davon oder dergleichen sein oder aufweisen. Die Schicht für die Gate-Elektroden 48 kann durch ALD, PECVD, MBD, PVD oder mit einem anderen Abscheidungsverfahren abgeschieden werden.
  • Teile der Schicht für die Gate-Elektroden 48, der einen oder mehreren optionalen konformen Schichten 46 und der dielektrischen Gate-Schicht 44 über den Oberseiten des ersten ILD 40, der ESL 38 und der Gate-Abstandshalter 34 werden entfernt. Zum Beispiel können die Teile der Schicht für die Gate-Elektroden 48, der einen oder mehreren optionalen konformen Schichten 46 und der dielektrischen Gate-Schicht 44 über den Oberseiten des ersten ILD 40, der ESL 38 und der Gate-Abstandshalter 34 mit einen Planarisierungsprozess, wie etwa einer CMP, entfernt werden. Somit können die einzelnen Ersatz-Gate-Strukturen, die jeweils die Gate-Elektrode 48, die eine oder die mehreren optionalen konformen Schichten 46 und die dielektrische Gate-Schicht 44 aufweisen, hergestellt werden, wie in den 8A bis 8C gezeigt ist.
  • Die 9A bis 9C zeigen das Schneiden der Ersatz-Gate-Strukturen. Durch das Schneiden der Ersatz-Gate-Strukturen entstehen Gate-Schneidefüllstrukturen 50, die seitlich senkrecht zu den Ersatz-Gate-Strukturen verlaufen und die Ersatz-Gate-Strukturen trennen. Wie später dargelegt wird, ist in einigen Beispielen die Gate-Schneidefüllstruktur 50 ein Isoliermaterial, und somit können Teile der Ersatz-Gate-Struktur, die vor dem Schneiden der Ersatz-Gate-Struktur eine Einheit bildeten, auf Grund der Gate-Schneidefüllstruktur 50 nun voneinander elektrisch getrennte Teile sein.
  • In einigen Beispielen wird eine Maske (z. B. eine Hartmaske) zum Schneiden der Ersatz-Gate-Strukturen verwendet. Zum Beispiel werden eine oder mehrere Maskenschichten über den Ersatz-Gate-Strukturen, den Gate-Abstandshaltern 34 und dem ersten ILD 40 abgeschieden, und die eine oder die mehreren Maskenschichten werden dann zu der Maske strukturiert. In einigen Beispielen können die eine oder die mehreren Maskenschichten Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie können durch CVD, PVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Die eine oder die mehreren Maskenschichten können durch fotolithografische und Ätzprozesse strukturiert werden, wie bereits dargelegt worden ist. Die Maske kann Maskenöffnungen haben, die in einer Richtung verlaufen, die seitlich senkrecht zu den Ersatz-Gate-Strukturen ist und diese schneiden.
  • Unter Verwendung der Maske können die Ersatz-Gate-Strukturen, die Gate-Abstandshalter 34, die ESL 38 und das erste ILD 40 geätzt werden, sodass Gräben entstehen, die die Ersatz-Gate-Strukturen zertrennen. Die Gräben können, z. B. durch die Gate-Elektroden 48, die eine oder die mehreren optionalen konformen Schichten 46 und die dielektrische Gate-Schicht 44, mit einer Tiefe bis zu den entsprechenden Trennbereichen 26 verlaufen und/oder in diese hineinreichen. Der Ätzprozess kann RIE, NBE, ICP oder dergleichen oder eine Kombination davon umfassen. Der Ätzprozess kann anisotrop sein. In den Gräben, die die Ersatz-Gate-Strukturen zertrennen, wird ein Isoliermaterial für die Gate-Schneidefüllstrukturen 50 abgeschieden. In einigen Beispielen können die Gate-Schneidefüllstrukturen 50 jeweils nur ein Isoliermaterial sein, und in anderen Beispielen können die Gate-Schneidefüllstrukturen 50 mehrere unterschiedliche Isoliermaterialien sein, wie etwa bei einer mehrschichtigen Konfiguration. In einigen Beispielen kann das Isoliermaterial Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und es kann durch CVD, PVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Teile des Isoliermaterials für die Gate-Schneidefüllstrukturen 50 und die Maske über den Oberseiten des ersten ILD 40, der ESL 38, der Gate-Abstandshalter 34 und der Ersatz-Gate-Strukturen werden entfernt. Zum Beispiel können die Teile des Isoliermaterials für die Gate-Schneidefüllstrukturen 50 und die Maske über den Oberseiten des ersten ILD 40, der ESL 38, der Gate-Abstandshalter 34 und der Ersatz-Gate-Strukturen mit einem Planarisierungsprozess, wie etwa einer CMP, entfernt werden, und die Oberseiten der Gate-Schneidefüllstrukturen 50 können koplanar mit den Oberseiten des ersten ILD 40, der ESL 38, der Gate-Abstandshalter 34 und der Ersatz-Gate-Strukturen hergestellt werden. Daher trennen die Gate-Schneidefüllstrukturen 50 Teile der Ersatz-Gate-Strukturen elektrisch, die zertrennt worden sind. Wie in 9A gezeigt ist, verlaufen die dielektrische Gate-Schicht 44 und/oder die eine oder die mehreren optionalen konformen Schichten 46 nicht mehr vertikal entlang einer Seitenwand der Gate-Schneidefüllstrukturen 50, wenn die Ersatz-Gate-Strukturen nach ihrer Herstellung (z. B. Abscheidung) zertrennt worden sind. Die Gate-Schneidefüllstrukturen 50 sind in 9A zwar mit einem positiven konischen Profil dargestellt (d. h., Seitenwände von Komponenten, die an die Gate-Schneidefüllstrukturen 50 angrenzen, haben jeweils Winkel mit den Unterseiten der Komponenten, die an die Seitenwände angrenzen, von ldeiner als 90° im Inneren dieser Komponenten), aber die Gate-Schneidefüllstrukturen 50 können auch ein vertikales Profil (d. h. Winkel von 90°) oder ein gefaltetes Profil (d. h. Winkel, die größer als 90° sind) haben. Die Ätzung zum Erzeugen der Gräben, in denen die Gate-Schneidefüllstrukturen 50 hergestellt werden, kann zur Entstehung dieser Profile führen.
  • Die 10A bis 10C zeigen die Herstellung einer Maske 52 mit einer Maskenöffnung 54, die zum Schneiden der Finnen 24 verwendet wird. Zum Beispiel werden eine oder mehrere Maskenschichten über den Ersatz-Gate-Strukturen, den Gate-Abstandshaltern 34, der ESL 38, dem ersten ILD 40 und den Gate-Schneidefüllstrukturen 50 abgeschieden, und die eine oder die mehreren Maskenschichten werden dann zu der Maske 52 strukturiert. In einigen Beispielen können die eine oder die mehreren Maskenschichten Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie können durch CVD, PVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Die eine oder die mehreren Maskenschichten werden so strukturiert, dass sie die Maskenöffnung 54 haben, um damit die Maske 52 zu erzeugen. Die eine oder die mehreren Maskenschichten können mit fotolithografischen und Ätzprozessen strukturiert werden, wie bereits dargelegt worden ist. Die Maskenöffnung 54 legt zumindest einen Bereich der Ersatz-Gate-Struktur zwischen einem Paar Gate-Schneidefüllstrukturen 50 frei, und dieser Bereich der Ersatz-Gate-Struktur wird entfernt. Wie in 10A gezeigt ist, steht die Maske 52 über einen Bereich einer Ersatz-Gate-Struktur über, der entfernt werden soll, aber in einigen Fällen kann die Maskenöffnung 54 zu Seitenwänden der Gate-Schneidefüllstrukturen 50 ausgerichtet werden, die den zu entfernenden Bereich der Ersatz-Gate-Struktur definieren.
  • Die 11A bis 11C zeigen das Entfernen des Bereichs der Ersatz-Gate-Struktur, wobei zumindest ein Teil dieses Bereichs durch die Maskenöffnung 54 freigelegt wird. Das Entfernen kann mit einem oder mehreren Ätzprozessen erfolgen. Die Ätzprozesse können isotrop sein und für die Materialien der Gate-Elektrode 48, der einen oder der mehreren optionalen konformen Schichten 46 und der dielektrische Gate-Schicht 44 selektiv sein.
  • Zum Beispiel können der eine oder die mehreren Ätzprozesse einen Nassätzprozess, zum Beispiel mit einer Schwefelsäure-Peroxid-Mischung (SPM) (d. h. eine Mischung aus H2SO4 und H2O2) oder einer Hochtemperatur-SCi (SC1: reine Standardlösung 1; d. h. eine Mischung aus NH4OH, H2O2 und H2O); oder einen anderen Ätzprozess umfassen. Eine Temperatur für einen Nassätzprozess unter Verwendung der SPM kann etwa 60 °C bis etwa 200 °C betragen, und eine Temperatur für einen Nassätzprozess unter Verwendung einer Hochtemperatur-SC1 kann etwa 20 °C bis etwa 80 °C betragen.
  • Der eine oder die mehreren Ätzprozesse können auch einen Trockenätzprozess (z. B. einen Plasmaätzprozess) umfassen. Zum Beispiel kann für den Plasmaätzprozess eine niedrige Substrat-Gleichstrom-Vorspannung (die zum Beispiel kleiner als etwa 0,1 kV ist) oder gar keine Substratvorspannung verwendet werden. Der Plasmaätzprozess kann ein RIE-, NBE- oder ICP-Ätzprozess oder dergleichen oder eine Kombination davon sein. Beispielhafte Ätzgase, die für den Plasmaätzprozess verwendet werden können, sind Bortrichlorid (BCl3), Siliziumtetrachlorid (SiCl4), Chlor (Cl2), andere Gase auf Cl-Basis oder dergleichen oder eine Kombination davon. Ein Durchsatz der Ätzgase für den Plasmaätzprozess kann etwa 50 Ncm3/min bis etwa 800 Ncm3/min betragen. Eine Leistung für den Plasmaätzprozess kann etwa 200 W bis etwa 1000 W betragen. Ein Druck für den Plasmaätzprozess kann etwa 1 mTorr bis etwa 80 mTorr betragen.
  • Mit einer isotropen Ätzung, die für die Materialien der Gate-Elektrode 48, der einen oder mehreren optionalen konformen Schichten 46 und der dielektrischen Gate-Schicht 44 selektiv ist, kann der Bereich der Ersatz-Gate-Struktur, der zumindest einen Teil hat, der durch die Maskenöffnung 54 freigelegt ist, trotz einiger Teile entfernt werden, die sich z. B. auf Grund einer fehlerhaften Justierung unter der Maske 52 befinden können. Durch das Entfernen des Bereichs der Ersatz-Gate-Struktur entsteht eine Gate-Schneideöffnung 60 zwischen den Gate-Abstandshaltern 34 und den Gate-Schneidefüllstrukturen 50 entlang dem Bereich der Ersatz-Gate-Struktur, der entfernt wurde. Die Gate-Schneideöffnung 60 legt Teile der Finnen 24 frei, wo die Finnen 24 geschnitten werden.
  • Die 12A bis 12C zeigen das Trimmen der Finnen 24, die durch die Maskenöffnung 54 in der Maske 52 sowie durch die Gate-Schneideöffnung 60 freigelegt sind, wo der Bereich der Ersatz-Gate-Struktur entfernt wurde. Durch das Trimmen entstehen getrimmte Finnen 24' mit einem Trimmschnitt 61. Das Trimmen kann ein oder mehrere Ätzprozesse umfassen. Der Ätzprozess kann isotrop und/oder anisotrop sein und für das Material der Finnen 24 selektiv sein.
  • Der Ätzprozess kann zum Beispiel ein Trockenätzprozess (z. B. ein Plasmaätzprozess) sein. Für den Plasmaätzprozess kann eine Substrat-Gleichstrom-Vorspannung verwendet werden, die etwa o kV bis etwa 0,1 kV beträgt. Der Plasmaätzprozess kann ein RIE-, NBE- oder ICP-Ätzprozess oder dergleichen oder eine Kombination davon sein. Beispielhafte Ätzgase, die in dem Plasmaätzprozess verwendet werden können, sind Bromwasserstoff (HBr), Chlor (Cl2), Siliziumtetrachlorid (SiCl4), Bortrichlorid (BCl3), andere Gase auf Chlorbasis oder dergleichen oder eine Kombination davon. Ein Durchsatz der Ätzgase für den Plasmaätzprozess kann etwa 50 Ncm3/min bis etwa 800 Ncm3/min betragen. Eine Leistung für den Plasmaätzprozess kann etwa 200 W bis etwa 1000 W betragen. Ein Druck für den Plasmaätzprozess kann etwa 1 mTorr bis etwa 80 mTorr betragen.
  • In einigen Beispielen befinden sich die Oberseiten der getrimmten Finnen 24' auf oder über Oberseiten von jeweiligen benachbarten Trennbereichen 26. Der Trimmschnitt 61 kann zum Beispiel eine Tiefe von der Oberseite der Finne 24 von etwa 20 nm bis etwa 80 haben. Die Tiefe des Trimmschnitts 61 kann kleiner als eine, gleich einer oder größer als eine Tiefe einer Aussparung sein, in der der epitaxiale Source-/Drain-Bereich 36 hergestellt ist, wobei die Tiefe der Aussparung von der Oberseite der Finne 24 gemessen wird. In einigen speziellen Beispielen ist die Tiefe des Trimmschnitts 61 gleich der oder größer als die Tiefe der Aussparung, in der der epitaxiale Source-/Drain-Bereich 36 hergestellt ist.
  • Wie in der Schnittansicht von 12B zu erkennen ist, führt das Trimmen der Finnen 24 dazu, dass sich ein Trimmschnitt 61 (der der Gate-Schneideöffnung 60 entspricht) mit einer Tiefe zwischen Seitenwänden einer jeweiligen Finne 24 erstreckt. Ein Material der Finne 24 (z. B. die verspannte Halbleiterschicht 22) bildet die Seitenwand, wo die Finne 24 getrimmt wurde, wobei sich das Material der Finne 24 (z. B. die verspannte Halbleiterschicht 22, die ein kristallines Material ist) zwischen der Seitenwand und einem entsprechenden epitaxialen Source-/Drain-Bereich 36 befindet. Zum Beispiel befindet sich das Material der Finne 24 unter jedem der Gate-Abstandshalter 34, zwischen denen der Trimmschnitt 61 definiert ist, zwischen einem entsprechenden epitaxialen Source-/Drain-Bereich 36 und der Seitenwand des Trimmschnitts 61. Somit kann sich ein kristallines Material zwischen dem epitaxialen Source-/Drain-Bereich 36 und einer entsprechenden Seitenwand des Trimmschnitts 61 befinden.
  • Die 13A bis 13C zeigen die Herstellung eines Belags 62 in der Gate-Schneideöffnung 60, wo die zweite der Gate-Elektrodenstrukturen entfernt wurde, und in dem Trimmschnitt 61 auf den getrimmten Finnen 24'. Der Belag 62 wird in der Gate-Schneideöffnung 60, in dem Trimmschnitt 61 und auf der Maske 52 konform abgeschieden. Zum Beispiel wird der Belag 62 auf den folgenden Flächen konform abgeschieden: auf den Seitenwänden der Gate-Abstandshalter 34 und der getrimmten Finnen 24' (wie z. B. in 13B gezeigt ist), auf den Oberseiten der getrimmten Finnen 24' (wie z. B. in den 13A und 13B gezeigt ist) und auf den Seitenwänden der Gate-Schneidefüllstrukturen 50 und den Oberseiten der Trennbereiche 26 (wie z. B. in 13A gezeigt ist). Der Belag 62 kann Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und er kann durch ALD, CVD oder mit einem anderen konformen Abscheidungsverfahren abgeschieden werden. Eine Dicke des Belags 62 kann etwa 1 nm bis etwa 5 nm betragen.
  • Die 14A bis 14C zeigen einen Durchbruch-Ätzprozess, der an dem Belag 62 durchgeführt wird, um einen Bereich freizulegen, in dem die getrimmten Finnen 24' zusätzlich geschnitten werden sollen. Der Durchbruch-Ätzprozess kann ein anisotroper Ätzprozess, wie etwa ein Trockenätzprozess (z. B. ein Plasmaätzprozess), sein. Der anisotrope Ätzprozess kann ein RIE-, NBE- oder ICP-Ätzprozess oder dergleichen sein. Beispielhafte Ätzgase können Chlor (Cl2), ein Gas auf Chlorbasis, Fluoroform (CHF3), Tetrafluormethan (CF4), ein kohlenstoffhaltiges Polymer (das z. B. -CH2, -CH3 und dergleichen enthält), Schwefelhexafluorid (SF6), Stickstofftrifluorid (NF3) oder dergleichen oder eine Kombination davon sein oder aufweisen. Ein Durchsatz der Ätzgase für den Plasmaätzprozess kann etwa 50 Ncm3/min bis etwa 800 Ncm3/min betragen. Für den Plasmaätzprozess kann eine Substrat-Gleichstrom-Vorspannung verwendet werden, die größer als oder gleich etwa 0,1 kV ist, zum Beispiel etwa 0,1 kV bis etwa 0,8 kV, beträgt. Eine Leistung für den Plasmaätzprozess kann etwa 200 W bis etwa 1000 W betragen. Ein Druck für den Plasmaätzprozess kann etwa 1 mTorr bis etwa 80 mTorr betragen.
  • Durch den anisotropen Ätzprozess werden die getrimmten Finnen 24' freigelegt, während der Belag 62 entlang den Seitenwänden der Finnen 24 in dem Trimmschnitt 61 und entlang den Seitenwänden der Gate-Abstandshalter 34 bestehen bleiben kann, wie in 14B gezeigt ist. Teile des Belags 62, die nicht mit dem anisotropen Ätzprozess geätzt werden, wie etwa entlang den Seitenwänden der Gate-Schneidefüllstrukturen 50 und unter den Überhängen der Maske 52, bleiben ebenfalls in der Gate-Schneideöffnung 60 bestehen. Wie in der Schnittansicht von 14B zu erkennen ist, befindet sich das Material der Finnen 24 (z. B. die verspannte Halbleiterschicht 22, die ein kristallines Material ist) zwischen dem Belag 62, der nach dem Durchbruch-Ätzprozess bestehen bleibt, und einem entsprechenden epitaxialen Source-/Drain-Bereich 36. Somit kann sich ein kristallines Material zwischen dem epitaxialen Source-/Drain-Bereich 36 und einem entsprechenden Belag 62 befinden.
  • Die 15A bis 15C zeigen das Trimmen der Finnen 24, die durch den Belag 62, durch die Maskenöffnung 54 in der Maske 52 sowie durch die Gate-Schneideöffnung 60 freigelegt sind, wo der Bereich der Ersatz-Gate-Struktur entfernt wurde. Durch das Schneiden der Finnen 24 werden Teile der Finnen 24 entfernt, die durch den Belag 62 und die Öffnungen 54 und 60 und zwischen entsprechenden Trennbereichen 26 freigelegt sind, und Teile des Halbleitersubstrats 20 entfernt, wo die Finnen 24 auf ein Niveau unter den Trennbereichen 26 geschnitten werden, um Aussparungen 64 in dem Halbleitersubstrat 20 zu erzeugen. Das Schneiden der Finnen 24 kann mit einem Ätzprozess erfolgen. Der Ätzprozess kann anisotrop oder isotrop sein und für das Material der Finnen 24 und des Halbleitersubstrats 20 selektiv sein.
  • Der Ätzprozess kann zum Beispiel ein Trockenätzprozess (z. B. ein Plasmaätzprozess) sein. Für den Plasmaätzprozess kann eine Substrat-Gleichstrom-Vorspannung verwendet werden, die etwa 0,1 kV bis etwa 1 kV beträgt. Der Plasmaätzprozess kann ein RIE-, NBE- oder ICP-Ätzprozess oder dergleichen oder eine Kombination davon sein. Beispielhafte Ätzgase, die in dem Plasmaätzprozess verwendet werden können, sind Bromwasserstoff (HBr), Chlor (Cl2), Siliziumtetrachlorid (SiCl4), Bortrichlorid (BCl3), andere Gase auf Chlorbasis oder dergleichen oder eine Kombination davon. Ein Durchsatz der Ätzgase für den Plasmaätzprozess kann etwa 50 Ncm3/min bis etwa 800 Ncm3/min betragen. Eine Leistung für den Plasmaätzprozess kann etwa 200 W bis etwa 1000 W betragen. Ein Druck für den Plasmaätzprozess kann etwa 1 mTorr bis etwa 80 mTorr betragen.
  • Der Belag 62 auf den Seitenwänden der geschnittenen Finnen 24 kann z. B. die epitaxialen Source-/Drain-Bereiche 36 während des Schneidens der Finnen 24 schützen. Außerdem kann der Belag 62 einen Bereich der getrimmten Finne 24', der geschnitten wird, definieren. Eine Breite der getrimmten Finne 24', die geschnitten wird, kann zum Beispiel ein Abstand zwischen gegenüberliegenden Seitenwänden des Belags 62 sein, der kleiner als eine Breite des Trimmschnitts 61 von 12B ist. Da der Belag 62 die Breite des Bereichs, der geschnitten wird, definiert, können andere Prozessfenster vergrößert werden. Zum Beispiel kann eine Breite eines Dummy-Gate-Stapels vergrößert werden, die dem Bereich der Ersatz-Gate-Struktur entspricht, der entfernt wird. Außerdem können durch das Trimmen, die Herstellung des Belags 62 und das Schneiden die geschnittenen Finnen 24 eine Stufe haben, die an einer Unterseite des Belags 62 entsteht, wie später näher dargelegt wird.
  • Die 16A bis 16C zeigen die Abscheidung eines Füllmaterials 66 in der Gate-Schneideöffnung 60, wo der Bereich der Ersatz-Gate-Struktur entfernt wurde, und in den Aussparungen 64. Das Füllmaterial 66 kann ein Isoliermaterial sein. In einigen Beispielen kann das Füllmaterial 66 nur ein Isoliermaterial sein, und in anderen Beispielen kann das Füllmaterial 66 mehrere unterschiedliche Isoliermaterialien umfassen, wie etwa bei einer mehrschichtigen Konfiguration. Das Füllmaterial 66 kann Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, Siliziumcarbonitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und es kann durch CVD, PVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. In einigen Beispielen kann das Füllmaterial 66 das gleiche Material wie der Belag 62 oder ein anderes Material als dieser sein oder aufweisen. In einigen Beispielen, in denen das Füllmaterial 66 und der Belag 62 das gleiche Material sind, können an einer Grenzfläche zwischen dem Belag 62 und dem Füllmaterial 66 durch den Ätzprozess der 15A bis 15C ein Nebenprodukt/Rückstand (z. B. mit einer anderen Materialzusammensetzung) und/oder Schlenkerbindungen des Belags 62 entstehen.
  • Die 17A bis 17C zeigen die Planarisierung des Füllmaterials 66 mit den Oberseiten des ersten ILD 40, der ESL 38, der Gate-Schneidefüllstrukturen 50, der Gate-Abstandshalter 34 und der Ersatz-Gate-Strukturen, um eine Finnen-Schneidefüllstruktur 68 mit dem Füllmaterial 66 und dem Belag 62 herzustellen. Teile des Füllmaterials 66 und der Maske 52 über den Oberseiten des ersten ILD 40 usw. werden entfernt. Zum Beispiel können die Teile des Füllmaterials 66 und der Maske 52 über den Oberseiten des ersten ILD 40 usw. mit einem Planarisierungsprozess, wie etwa einer CMP, entfernt werden, und das Füllmaterial 66 kann so abgeschieden werden, dass seine Oberseiten koplanar mit den Oberseiten des ersten ILD 40, der ESL 38, der Gate-Schneidefüllstrukturen 50, der Gate-Abstandshalter 34 und der Ersatz-Gate-Strukturen sind. Somit trennt die Finnen-Schneidefüllstruktur 68 elektrisch Bereiche der geschnittenen Finnen 24, die voneinander getrennt wurden. Es ist zu beachten, dass die Ersatz-Gate-Strukturen, die Gate-Abstandshalter 34, die ESL 38, das erste ILD 40 und die Gate-Schneidefüllstrukturen 50 einen Verlust auf Grund des Planarisierungsprozesses erfahren können. Wie gezeigt ist, können zum Beispiel Teile des Belags 62, die über die Gate-Schneideöffnung 60 überstehen (z. B. wenn sie auf Unterseiten der Maske 52 abgeschieden sind), mit dem Planarisierungsprozess entfernt werden, was wiederum zu einem Höhenverlust der Gate-Schneidefüllstrukturen 50, des ersten ILD 40, der Ersatz-Gate-Strukturen usw. führen kann.
  • Die 18A bis 18C zeigen die Herstellung eines zweiten ILD 70 und die Herstellung von leitfähigen Strukturelementen 72 und 74 durch das zweite ILD 70, das erste ILD 40 und/oder die ESL 38 auf den epitaxialen Source-/Drain-Bereichen 36 und den Ersatz-Gate-Strukturen. Obwohl es nicht dargestellt ist, kann eine ESL über dem ersten ILD 40, der ESL 38, den Gate-Abstandshaltern 34, den Ersatz-Gate-Strukturen, den Gate-Schneidefüllstrukturen 50 und der Finnen-Schneidefüllstruktur 68 abgeschieden werden. Die ESL kann Siliziumnitrid, Siliziumcarbonitrid, Silizium-Kohlenstoff-Oxid, Kohlenstoffnitrid oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie kann durch CVD, PECVD, ALD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Das zweite ILD 70 wird über der ESL, falls implementiert, und/oder über dem ersten ILD 40, der ESL 38, den Gate-Abstandshaltern 34, den Ersatz-Gate-Strukturen, den Gate-Schneidefüllstrukturen 50 und der Finnen-Schneidefüllstruktur 68 abgeschieden. Das zweite ILD 70 kann Siliziumdioxid, ein dielektrisches Low-k-Material, wie etwa Siliziumoxidnitrid, PSG, BSG, BPSG, USG, FSG, OSG, SiOxCy, Aufschleuderglas, Aufschleuderpolymer, Silizium-Kohlenstoff-Material, eine Verbindung davon, ein Verbundstoff davon oder dergleichen oder eine Kombination davon sein oder aufweisen. Das zweite ILD 70 kann durch Aufschleudern, CVD, FCVD, PECVD, PVD oder mit einem anderen Abscheidungsverfahren abgeschieden werden.
  • Öffnungen für die leitfähigen Strukturelemente 72 können durch das zweite ILD 70, das erste ILD 40 und die ESL 38 bis zu den epitaxialen Source-/Drain-Bereichen 36 erzeugt werden, um zumindest jeweilige Teile der epitaxialen Source-/Drain-Bereiche 36 freizulegen, und Öffnungen für die leitfähigen Strukturelemente 74 können durch das zweite ILD 70 bis zu den Ersatz-Gate-Strukturen erzeugt werden, um zumindest jeweilige Teile der Ersatz-Gate-Strukturen freizulegen. Die Öffnungen können zum Beispiel mit geeigneten fotolithografischen und Ätzprozessen erzeugt werden. Die Öffnungen für die leitfähigen Strukturelemente 72 und 74 können gleichzeitig oder mit unterschiedlichen fotolithografischen und Ätzprozessen erzeugt werden.
  • In den Öffnungen, wie etwa auf den epitaxialen Source-/Drain-Bereichen 36 und Seitenwänden des zweiten ILD 70, des ersten ILD 40 und der ESL 38 für die leitfähigen Strukturelemente 72 und auf den Gate-Elektroden 48 und den Seitenwänden des zweiten ILD 70 für die leitfähigen Strukturelemente 74, kann eine Haftschicht konform abgeschieden werden. Auf der Haftschicht kann zum Beispiel eine Sperrschicht konform abgeschieden werden. Die Haftschicht kann zum Beispiel Titan, Cobalt, Nickel oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie kann durch ALD, CVD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Die Sperrschicht kann Titannidrid, Titanoxid, Tantalnitrid, Tantaloxid oder dergleichen oder eine Kombination davon sein oder aufweisen, und sie kann durch ALD, CVD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Silizidbereiche können auf oberen Teilen der epitaxialen Source-/Drain-Bereich 36 dadurch hergestellt werden, dass die oberen Teile der epitaxialen Source-/Drain-Bereiche 36 mit der Haftschicht und/oder der Sperrschicht zur Reaktion gebracht werden. Eine Glühung kann durchgeführt werden, um die Reaktion der epitaxialen Source-/Drain-Bereiche 36 mit der Haftschicht und/oder der Sperrschicht zu erleichtern.
  • Dann kann ein leitfähiges Material, das die Öffnungen füllt, und auf der Sperrschicht abgeschieden werden. Das leitfähige Material kann Wolfram, Kupfer, Aluminium, Gold, Silber, Legierungen davon oder dergleichen oder eine Kombination davon sein oder aufweisen, und es kann durch CVD, ALD, PVD oder mit einem anderen Abscheidungsverfahren abgeschieden werden. Nachdem das leitfähige Material abgeschieden worden ist, können überschüssiges leitfähiges Material und überschüssige Teile der Sperrschicht und der Haftschicht zum Beispiel mit einem Planarisierungsprozess, wie etwa einer CMP, entfernt werden. Mit dem Planarisierungsprozess können überschüssiges leitfähiges Material und überschüssige Teile der Sperrschicht und der Haftschicht über der Oberseite des zweiten ILD 70 entfernt werden. Dadurch können Oberseiten des leitfähigen Materials, der Sperrschicht, der Haftschicht und des zweiten ILD 70 koplanar sein. Somit können die leitfähigen Strukturelemente 72 und 74, die jeweils das leitfähige Material, die Sperrschicht, die Haftschicht und/oder die Silizidbereiche aufweisen, auf den epitaxialen Source-/Drain-Bereichen 36 bzw. den Gate-Elektroden 48 hergestellt werden. Das Layout der leitfähigen Strukturelemente 72 und 74 in den Figuren ist lediglich ein Beispiel. Ein Durchschnittsfachmann dürfte ohne weiteres erkennen, dass das Layout der leitfähigen Strukturelemente zwischen unterschiedlichen Implementierungen verschieden sein kann.
  • 19 zeigt einen Teil der Schnittansicht der Zwischenstruktur von 18B, gemäß einigen Ausführungsformen. 19 zeigt außerdem Stufenbereiche 80 an Seitenwänden der geschnittenen Finne 24, wo die Finne 24 geschnitten wurde. Die Stufenbereiche 80 entstehen durch das Trimmen der Finne 24, die Herstellung des Belags 62 entlang den Seitenwänden der Finne 24, wo sie getrimmt wurde, und das Schneiden der Finne 24 zwischen den Belägen 62. Jeder Stufenbereich 80 wird von den folgenden Flächen gebildet: einer ersten vertikalen Seitenwand der jeweiligen geschnittenen Finne 24, die durch das Trimmen entsteht; einer horizontalen Fläche der jeweiligen geschnittenen Finne 24, auf der der Belag 62 hergestellt ist; und einer zweiten vertikalen Seitenwand der jeweiligen geschnittenen Finne 24, die durch das Schneiden entsteht. Die erste vertikale Seitenwand und die horizontale Seitenwand befinden sich an jeweiligen Grenzflächen zwischen der geschnittenen Finne 24 und dem Belag 62. Die zweite vertikale Seitenwand befindet sich an einer Grenzfläche zwischen der geschnittenen Finne 24 und dem Füllmaterial 66.
  • Die gegenüberliegenden ersten vertikalen Seitenwände der geschnittenen Finnen 24, die durch das Trimmen entstehen, sind mit einem ersten Abstand D1 voneinander beabstandet. Die horizontalen Flächen der geschnittenen Finnen 24, die ebenfalls durch das Trimmen entstehen und auf denen der Belag 62 hergestellt wird, haben einen zweiten Abstand D2 von einer Oberseite der jeweiligen geschnittenen Finne 24. Der erste Abstand D1 und der zweite Abstand D2 sind Abmessungen des Trimmschnitts 61, der durch das Trimmen entsteht. Der erste Abstand D1 kann etwa 12 nm bis etwa 20 nm betragen, und der zweite Abstand D2 kann etwa 20 nm bis etwa 80 nm betragen. Ein Seitenverhältnis der Beschneidung (z. B. ein Verhältnis des zweiten Abstands D2 zu dem ersten Abstand D1) kann etwa 2 bis etwa 6 betragen.
  • Die jeweiligen ersten vertikalen Seitenwände der geschnittenen Finnen 24 haben einen dritten Abstand D3 von einem entsprechenden epitaxialen Source-/Drain-Bereich 36. Ein kristallines Material der jeweiligen geschnittenen Finne 24 ist innerhalb des dritten Abstands D3 angeordnet. Der dritte Abstand D3 kann etwa 1 nm bis etwa 5 nm betragen.
  • Die epitaxialen Source-/Drain-Bereiche 36 können von einer Oberseite der jeweiligen geschnittenen Finne 24 mit einem vierten Abstand D4 in die geschnittenen Finnen 24 hineinreichen. Der vierte Abstand D4 kann eine Tiefe sein, mit der eine Aussparung in die Finne 24 zum Herstellen der epitaxialen Source-/Drain-Bereiche 36 erzeugt wird. Der vierte Abstand D4 kann etwa o nm bis etwa 80 nm betragen. Der vierte Abstand D4 ist kleiner als der oder gleich dem zweiten Abstand D2, aber in anderen Beispielen kann der vierte Abstand D4 größer als der zweite Abstand D2 sein.
  • Ein fünfter Abstand D5 des Füllmaterials 66 besteht zwischen gegenüberliegenden Seitenwänden des Belags 62. Der fünfte Abstand D5 kann etwa 2 nm bis etwa 18 nm betragen. Der fünfte Abstand D5 ist kleiner als der erste Abstand D1, z. B. um das Zweifache einer Dicke des Belags 62, die etwa 1 nm bis etwa 5 nm betragen kann. Ein Verhältnis des fünften Abstands D5 zu dem ersten Abstand D1 kann etwa 0,2 bis etwa 0,9 betragen. Das Füllmaterial 66 kann einen sechsten Abstand D6 von der Oberseite der geschnittenen Finnen 24 bis zu einer Unterseite des Füllmaterials 66 haben. Der sechste Abstand D6 kann etwa 50 nm bis etwa 200 nm betragen. Das Füllmaterial 66 kann einen siebenten Abstand D7 von einer Oberseite des Füllmaterials 66 bis zu dessen Unterseite haben. Der siebente Abstand D7 kann etwa 80 nm bis etwa 250 nm betragen. Ein Verhältnis des siebenten Abstands D7 zu dem fünften Abstand D5 beträgt etwa 10 bis etwa 40.
  • Einige Ausführungsformen können verschiedene Vorteile erzielen. Mit dem Belag, der auf den Seitenwänden einer Finne nach dem Trimmen der Finne abgeschieden wird, kann ein epitaxialer Source-/Drain-Bereich während eines späteren Schneideprozesses besser geschützt werden. Der Belag kann außerdem ein Schneidefenster vergrößern und bietet dabei einen besseren Schutz für den epitaxialen Source-/Drain-Bereich. Weiterhin kann kristallines Material, das sich zwischen der Finnen-Schneidefüllstruktur und dem epitaxialen Source-/Drain-Bereich befindet, als ein Puffer fungieren und kann zum Vermeiden einer Spannungsrelaxation von dem Kanal in der Finne beitragen. Dies kann die gleichmäßigere Aufrechterhaltung der Spannung in der Finne unterstützen und eine größere Einheitlichkeit der Leistung der in der Finne hergestellten Bauelemente ermöglichen. Es können noch weitere Vorzüge erzielt werden.
  • Eine Ausführungsform betrifft eine Struktur. Die Struktur weist eine erste Finne und eine zweite Finne auf einem Substrat sowie eine Finnen-Schneidefüllstruktur auf, die zwischen der ersten Finne und der zweiten Finne angeordnet ist. Die erste Finne und die zweite Finne sind längs ausgerichtet. Die Finnen-Schneidefüllstruktur weist einen Belag auf einer ersten Seitenwand der ersten Finne und ein isolierendes Füllmaterial auf einer ersten Seitenwand des Belags und auf einer zweiten Seitenwand der ersten Finne auf. Der Belag ist außerdem auf einer Oberfläche der ersten Finne zwischen der ersten Seitenwand der ersten Finne und der zweiten Seitenwand der ersten Finne angeordnet.
  • Eine weitere Ausführungsform betrifft ein Verfahren. Eine Finne auf einem Substrat wird getrimmt. Ein Belag wird entlang jeweiligen ersten Seitenwänden eines ersten Bereichs und eines zweiten Bereichs der Finne hergestellt. Die ersten Seitenwände des ersten Bereichs und des zweiten Bereichs der ersten Finne entstehen durch Trimmen der Finne. Die Finne wird durch den Belag geschnitten. Ein Füllmaterial wird entlang dem Belag und dort abgeschieden, wo die Finne geschnitten wurde.
  • Eine weitere Ausführungsform betrifft ein Verfahren. Eine Finne auf einem Substrat wird geätzt, um einen Trimmschnitt herzustellen. Der Trimmschnitt definiert eine erste Seitenwand und eine zweite Seitenwand der Finne. Ein Belag wird entlang der ersten Seitenwand und der zweiten Seitenwand der Finne hergestellt. Eine Unterseite des Trimmschnitts wird durch den Belag freigelegt. Die Finne wird durch die Unterseite des Trimmschnitts geätzt, um einen Finnenschnitt zu erzeugen. Der Finnenschnitt wird mit einem Isoliermaterial gefüllt. Das Isoliermaterial wird entlang dem Belag angeordnet.
  • Eine noch weitere Ausführungsform betrifft ein Verfahren. Eine Gate-Struktur wird über einer Finne entfernt. Die Finne ragt aus einem Substrat heraus. Durch das Entfernen der Gate-Struktur wird ein Bereich definiert. Die Finne wird in dem Bereich getrimmt, in dem die Gate-Struktur entfernt wurde. Ein Belag wird in dem Bereich, in dem die Gate-Struktur entfernt wurde, und auf der getrimmten Finne konform abgeschieden. Der Belag wird anisotrop geätzt. Die getrimmte Finne wird durch den Belag geschnitten. Ein Isoliermaterial wird in dem Bereich abgeschieden, in dem die Gate-Struktur entfernt wurde und die getrimmte Finne geschnitten wurde.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Struktur mit: einer ersten Finne und einer zweiten Finne auf einem Substrat, wobei die erste Finne und die zweite Finne längs ausgerichtet sind; und einer Finnen-Schneidefüllstruktur, die zwischen der ersten Finne und der zweiten Finne angeordnet ist, wobei die Finnen-Schneidefüllstruktur Folgendes aufweist: einen Belag auf einer ersten Seitenwand der ersten Finne, und ein isolierendes Füllmaterial auf einer ersten Seitenwand des Belags und auf einer zweiten Seitenwand der ersten Finne, wobei der Belag außerdem auf einer Oberfläche der ersten Finne zwischen der ersten Seitenwand der ersten Finne und der zweiten Seitenwand der ersten Finne angeordnet ist.
  2. Struktur nach Anspruch 1, wobei ein erstes Quermaß der Finnen-Schneidefüllstruktur durch den Belag größer als ein zweites Quermaß der Finnen-Schneidefüllstruktur unter dem Belag ist, wobei das erste Quermaß und das zweite Quermaß zueinander parallel sind.
  3. Struktur nach Anspruch 1 oder 2, wobei die Oberfläche der ersten Finne eine horizontale Fläche ist.
  4. Struktur nach einem der vorhergehenden Ansprüche, wobei die erste Seitenwand der ersten Finne, die Oberfläche der ersten Finne und die zweite Seitenwand der ersten Finne einen Stufenbereich an der ersten Finne bilden.
  5. Struktur nach einem der vorhergehenden Ansprüche, wobei sich das isolierende Füllmaterial in das Substrat hinein bis zu einem Niveau unter der ersten Finne und der zweiten Finne erstreckt.
  6. Struktur nach einem der vorhergehenden Ansprüche, wobei die erste Finne einen epitaxialen Source-/Drain-Bereich aufweist, der von einer Oberseite der ersten Finne bis zu einer ersten Tiefe in die erste Finne hineinreicht, sich der Belag von der Oberseite der ersten Finne bis zu einer zweiten Tiefe erstreckt, und die zweite Tiefe gleich der ersten Tiefe oder größer als diese ist.
  7. Struktur nach einem der vorhergehenden Ansprüche, wobei die erste Finne einen epitaxialen Source-/Drain-Bereich aufweist, der in die erste Finne hineinreicht, wobei der epitaxiale Source-/Drain-Bereich ein Bereich in der ersten Finne ist, der der Finnen-Schneidefüllstruktur am nächsten ist, und ein kristallines Material der erste Finne seitlich zwischen dem Belag und dem epitaxialen Source-/Drain-Bereich angeordnet ist.
  8. Struktur nach einem der vorhergehenden Ansprüche, wobei der Belag weiterhin auf einer ersten Seitenwand der zweiten Finne angeordnet ist, und das isolierende Füllmaterial weiterhin auf einer zweiten Seitenwand des Belags und auf einer zweiten Seitenwand der zweiten Finne angeordnet ist, wobei der Belag weiterhin auf einer Oberfläche der zweiten Finne zwischen der ersten Seitenwand der zweiten Finne und der zweiten Seitenwand der zweiten Finne angeordnet ist.
  9. Verfahren mit den folgenden Schritten: Trimmen einer Finne auf einem Substrat; Herstellen eines Belags entlang jeweiligen ersten Seitenwänden eines ersten Bereichs und eines zweiten Bereichs der Finne, wobei die ersten Seitenwände des ersten Bereichs und des zweiten Bereichs der Finne durch Trimmen der Finne entstehen; Schneiden der Finne durch den Belag; und Abscheiden eines Füllmaterials entlang dem Belag und dort, wo die Finne geschnitten wurde.
  10. Verfahren nach Anspruch 9, wobei das Trimmen der Finne das Ätzen der Finne bis zu einem Niveau auf oder über Oberseiten benachbarter Trennbereiche auf dem Substrat umfasst, wobei die Finne zwischen den benachbarten Trennbereichen herausragt.
  11. Verfahren nach Anspruch 9 oder 10, wobei das Herstellen des Belags Folgendes umfasst: konformes Abscheiden einer Schicht des Belags entlang den ersten Seitenwänden des ersten Bereichs und des zweiten Bereichs der Finne und entlang einer Seitenfläche der Finne zwischen den ersten Seitenwänden des ersten Bereichs und des zweiten Bereichs der Finne; und anisotropes Ätzen der Schicht des Belags, um den Belag von zumindest einem Teil der Seitenfläche der Finne zwischen den ersten Seitenwänden des ersten Bereichs und des zweiten Bereichs der Finne zu entfernen.
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei das Schneiden der Finne das Ätzen der Finne bis zu einer Tiefe in dem Substrat unter der Finne umfasst.
  13. Verfahren nach einem der Ansprüche 9 bis 12, das weiterhin das epitaxiale Aufwachsen eines Source-/Drain-Bereichs in dem ersten Bereich der Finne umfasst, wobei der Source-/Drain-Bereich ein Bereich ist, der der Stelle am nächsten ist, wo die Finne geschnitten wird, wobei nach dem Herstellen des Belags entlang der ersten Seitenwand des ersten Bereichs der Finne sich ein kristallines Material der Finne seitlich zwischen dem Source-/Drain-Bereich und dem Belag befindet.
  14. Verfahren mit den folgenden Schritten: Entfernen einer Gate-Struktur über einer Finne, wobei die Finne aus einem Substrat herausragt, wobei durch das Entfernen der Gate-Struktur ein Bereich definiert wird; Trimmen der Finne in dem Bereich, in dem die Gate-Struktur entfernt wurde; konformes Abscheiden eines Belags in dem Bereich, in dem die Gate-Struktur entfernt wurde, und auf der getrimmten Finne; anisotropes Ätzen des Belags; Schneiden der getrimmten Finne durch den Belag; und Abscheiden eines Isoliermaterials in dem Bereich, in dem die Gate-Struktur entfernt wurde, und dort, wo die getrimmte Finne geschnitten wurde.
  15. Verfahren nach Anspruch 14, wobei durch das Trimmen der Finne Finnen-Seitenwände an der Finne entstehen, die von dem Bereich definiert werden, in dem die Gate-Struktur entfernt wurde.
  16. Verfahren nach Anspruch 15, wobei das Isoliermaterial auf dem Belag entlang den Finnen-Seitenwänden angeordnet wird.
  17. Verfahren nach einem der Ansprüche 14 bis 16, wobei ein erster Trennbereich und ein zweiter Trennbereich auf dem Substrat und auf gegenüberliegenden Seiten der Finne angeordnet sind, eine Oberseite der getrimmten Finne in dem Bereich, in dem die Gate-Struktur entfernt wurde, auf einem Niveau einer Oberseite des ersten Trennbereichs oder darüber ist, und das Schneiden der getrimmten Finne bis zu einer Tiefe in dem Substrat auf einem Niveau unter einer Unterseite des ersten Trennbereichs erfolgt.
  18. Verfahren nach einem der Ansprüche 14 bis 17, wobei sich der Bereich, in dem die Gate-Struktur entfernt wurde, zwischen einem ersten Gate-Abstandshalter und einem zweiten Gate-Abstandshalter und weiterhin zwischen einer ersten Gate-Schneidefüllstruktur und einer zweiten Gate-Schneidefüllstruktur befindet, wobei der erste Gate-Abstandshalter und der zweite Gate-Abstandshalter über der Finne und zwischen der ersten Gate-Schneidefüllstruktur und der zweiten Gate-Schneidefüllstruktur angeordnet sind.
  19. Verfahren nach Anspruch 18, wobei durch das Trimmen der Finne eine erste Finnen-Seitenwand und eine zweite Finnen-Seitenwand an der Finne entstehen, wobei die erste Finnen-Seitenwand zu einer Seitenwand des ersten Gate-Abstandshalters ausgerichtet ist und die zweite Finnen-Seitenwand zu einer Seitenwand des zweiten Gate-Abstandshalters ausgerichtet ist, und das Isoliermaterial auf dem Belag entlang der ersten Finnen-Seitenwand und entlang der zweiten Finnen-Seitenwand angeordnet ist.
  20. Verfahren nach Anspruch 18 oder 19, wobei das Isoliermaterial auf dem Belag angeordnet ist, der entlang jeweiligen Seitenwänden des ersten Gate-Abstandshalters, des zweiten Gate-Abstandshalters, der ersten Gate-Schneidefüllstruktur und der zweiten Gate-Schneidefüllstruktur angeordnet ist.
DE102018124673.0A 2017-11-29 2018-10-08 Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen Active DE102018124673B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591905P 2017-11-29 2017-11-29
US62/591,905 2017-11-29
US15/909,800 US11114549B2 (en) 2017-11-29 2018-03-01 Semiconductor structure cutting process and structures formed thereby
US15/909,800 2018-03-01

Publications (2)

Publication Number Publication Date
DE102018124673A1 true DE102018124673A1 (de) 2019-05-29
DE102018124673B4 DE102018124673B4 (de) 2022-06-30

Family

ID=66442728

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018124673.0A Active DE102018124673B4 (de) 2017-11-29 2018-10-08 Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen

Country Status (5)

Country Link
US (2) US11114549B2 (de)
KR (1) KR102192872B1 (de)
CN (1) CN109841618B (de)
DE (1) DE102018124673B4 (de)
TW (1) TWI682443B (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US11114549B2 (en) * 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US11398477B2 (en) * 2019-05-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10854603B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102647231B1 (ko) 2018-08-02 2024-03-13 삼성전자주식회사 반도체 소자 및 이의 제조방법
US11043598B2 (en) 2018-11-30 2021-06-22 International Business Machines Corporation Vertical field effect transistor with low-resistance bottom source-drain contact
US11450570B2 (en) 2019-03-28 2022-09-20 Globalfoundries U.S. Inc. Single diffusion cut for gate structures
US10784342B1 (en) * 2019-04-16 2020-09-22 Globalfoundries Inc. Single diffusion breaks formed with liner protection for source and drain regions
DE102020119859A1 (de) 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung von hybrid-isolationsregionen durch aussparen und erneutes abscheiden
US11404323B2 (en) * 2020-04-29 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of hybrid isolation regions through recess and re-deposition
US11417744B2 (en) 2020-09-24 2022-08-16 Nanya Technology Corporation Semiconductor structure having buried gate electrode with protruding member and method of manufacturing the same

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7816271B2 (en) 2007-07-14 2010-10-19 Samsung Electronics Co., Ltd. Methods for forming contacts for dual stress liner CMOS semiconductor devices
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8841188B2 (en) * 2012-09-06 2014-09-23 International Business Machines Corporation Bulk finFET with controlled fin height and high-K liner
US8809920B2 (en) * 2012-11-07 2014-08-19 International Business Machines Corporation Prevention of fin erosion for semiconductor devices
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
KR102067171B1 (ko) * 2013-02-14 2020-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9070711B2 (en) * 2013-08-02 2015-06-30 Globalfoundries Inc. Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices
US9472672B2 (en) 2013-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminating fin mismatch using isolation last
US9166024B2 (en) * 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
EP4187619A1 (de) 2014-03-24 2023-05-31 Intel Corporation Transistoren mit mehreren transistorrippenabmessungen auf einem einzigen chip
US9704973B2 (en) * 2014-04-01 2017-07-11 Globalfoundries Inc. Methods of forming fins for FinFET semiconductor devices and the selective removal of such fins
KR102135181B1 (ko) * 2014-05-12 2020-07-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9373641B2 (en) 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
KR102235614B1 (ko) * 2014-09-17 2021-04-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9245883B1 (en) 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9412850B1 (en) 2015-01-15 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of trimming fin structure
US9704974B2 (en) 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Process of manufacturing Fin-FET device
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
CN106328705B (zh) 2015-07-01 2020-11-24 联华电子股份有限公司 具有栅极结构的鳍状半导体元件及其制作方法
CN106340455B (zh) * 2015-07-06 2021-08-03 联华电子股份有限公司 半导体元件及其制作方法
US9659786B2 (en) * 2015-07-14 2017-05-23 International Business Machines Corporation Gate cut with high selectivity to preserve interlevel dielectric layer
CN106711213B (zh) 2015-07-20 2021-02-26 联华电子股份有限公司 半导体元件及其制作方法
US9659785B2 (en) * 2015-09-01 2017-05-23 International Business Machines Corporation Fin cut for taper device
US9607985B1 (en) 2015-09-25 2017-03-28 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9559192B1 (en) * 2015-11-18 2017-01-31 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US9978748B2 (en) 2015-12-09 2018-05-22 International Business Machines Corporation Method of cutting fins to create diffusion breaks for finFETs
US9761495B1 (en) * 2016-02-23 2017-09-12 Globalfoundries Inc. Methods of performing concurrent fin and gate cut etch processes for FinFET semiconductor devices and the resulting devices
US9679985B1 (en) * 2016-06-20 2017-06-13 Globalfoundries Inc. Devices and methods of improving device performance through gate cut last process
TWI692871B (zh) * 2016-08-03 2020-05-01 聯華電子股份有限公司 半導體結構及其製作方法
US9805983B1 (en) * 2016-08-19 2017-10-31 International Business Machines Corporation Multi-layer filled gate cut to prevent power rail shorting to gate structure
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US9812365B1 (en) * 2016-10-05 2017-11-07 Globalfoundries Inc. Methods of cutting gate structures on transistor devices
US9818875B1 (en) 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
US9721848B1 (en) 2016-10-28 2017-08-01 International Business Machines Corporation Cutting fins and gates in CMOS devices
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US9929157B1 (en) * 2016-12-22 2018-03-27 Globalfoundries Inc. Tall single-fin fin-type field effect transistor structures and methods
US10312132B2 (en) * 2017-01-25 2019-06-04 International Business Machines Corporation Forming sacrificial endpoint layer for deep STI recess
US11276767B2 (en) * 2017-03-15 2022-03-15 International Business Machines Corporation Additive core subtractive liner for metal cut etch processes
US10083874B1 (en) * 2017-03-23 2018-09-25 Globalfoundries Inc. Gate cut method
US9818836B1 (en) * 2017-04-13 2017-11-14 Globalfoundries Inc. Gate cut method for replacement metal gate integration
US10014296B1 (en) * 2017-04-14 2018-07-03 Globalfoundries Inc. Fin-type field effect transistors with single-diffusion breaks and method
US10177151B1 (en) * 2017-06-26 2019-01-08 Globalfoundries Inc. Single-diffusion break structure for fin-type field effect transistors
US10269787B2 (en) * 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US10090402B1 (en) * 2017-07-25 2018-10-02 Globalfoundries Inc. Methods of forming field effect transistors (FETS) with gate cut isolation regions between replacement metal gates
US20190067115A1 (en) * 2017-08-23 2019-02-28 Globalfoundries Inc. Gate cut method for replacement metal gate
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10777466B2 (en) * 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10867998B1 (en) * 2017-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US11114549B2 (en) * 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10229854B1 (en) * 2017-12-14 2019-03-12 International Business Machines Corporation FinFET gate cut after dummy gate removal
US10541317B2 (en) * 2018-03-01 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a metal gate using monolayers
US10236213B1 (en) * 2018-03-12 2019-03-19 Globalfoundries Inc. Gate cut structure with liner spacer and related method
US10373873B1 (en) * 2018-03-23 2019-08-06 Globalfoundries Inc. Gate cut in replacement metal gate process
US10553698B2 (en) * 2018-04-12 2020-02-04 Globalfoundries Inc. Methods, apparatus and system for a self-aligned gate cut on a semiconductor device
US10586860B2 (en) * 2018-05-03 2020-03-10 Globalfoundries Inc. Method of manufacturing finfet devices using narrow and wide gate cut openings in conjunction with a replacement metal gate process
US10373877B1 (en) * 2018-05-22 2019-08-06 Globalfoundries Inc. Methods of forming source/drain contact structures on integrated circuit products
US10366930B1 (en) * 2018-06-11 2019-07-30 Globalfoundries Inc. Self-aligned gate cut isolation

Also Published As

Publication number Publication date
KR102192872B1 (ko) 2020-12-21
TWI682443B (zh) 2020-01-11
US20190165137A1 (en) 2019-05-30
US20210280695A1 (en) 2021-09-09
KR20190063419A (ko) 2019-06-07
TW201926424A (zh) 2019-07-01
DE102018124673B4 (de) 2022-06-30
US11114549B2 (en) 2021-09-07
CN109841618B (zh) 2022-04-01
CN109841618A (zh) 2019-06-04

Similar Documents

Publication Publication Date Title
DE102018124673B4 (de) Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen
DE102018100286B4 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102018100061B3 (de) Ausbildungsverfahren mit hoher Oberflächen-Dotierstoffkonzentration und deren Strukturen
DE102015110636A1 (de) ET-Strukturen und Bildungsverfahren
DE102017128585A1 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102018106631A1 (de) Schneideverfahren für Halbleiterstruktur und dadurch gebildete Strukturen
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102017117793B4 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102018124741A1 (de) Linerstruktur in dieelektrischer zwischenschichtstruktur für halbleiterbauteile
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102018108176A1 (de) Asymmetrische Source- und Drain-Strukturen in Halbleitervorrichtungen
DE102020108047A1 (de) Finnen-feldeffekttransistor-bauelement und verfahren zum bilden desselben
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102018122665A1 (de) Sockelentfernung in metallschnittverfahren
DE102019101555B4 (de) Metall-gate-schneideform
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102017127770B4 (de) Halbleitervorrichtung und -verfahren
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021113657B4 (de) Verfahren zum Bilden einer Halbleitervorrichtung
DE102017124223A1 (de) Selbstjustierte Struktur für Halbleiter-Bauelemente
DE102018122654A1 (de) Finnenfeldeffekttransistorvorrichtung und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 102018010484

Country of ref document: DE

R020 Patent grant now final