DE102017128585A1 - Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen - Google Patents

Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen Download PDF

Info

Publication number
DE102017128585A1
DE102017128585A1 DE102017128585.7A DE102017128585A DE102017128585A1 DE 102017128585 A1 DE102017128585 A1 DE 102017128585A1 DE 102017128585 A DE102017128585 A DE 102017128585A DE 102017128585 A1 DE102017128585 A1 DE 102017128585A1
Authority
DE
Germany
Prior art keywords
fin
gate structure
insulating
spacer
section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017128585.7A
Other languages
English (en)
Inventor
Ryan Chia-Jen Chen
Li-Wei Yin
Tzu-Wen Pan
Yi-Chun Chen
Cheng-Chung Chang
Shao-Hua Hsu
Yu-Sien Lin
Ming-Ching Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017128585A1 publication Critical patent/DE102017128585A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Es werden Verfahren zum Schneiden von Gate-Strukturen und Finnen sowie damit gebildete Strukturen beschrieben. In einer Ausführungsform enthält ein Substrat erste und zweite Finnen und eine Isolierregion. Die ersten und zweiten Finnen erstrecken sich in Längsrichtung parallel, wobei die Isolierregion dazwischen angeordnet ist. Ein Gate-Struktur enthält ein konformales Gate-Dielektrikum über der ersten Finne und eine Gate-Elektrode über dem konformalen Gate-Dielektrikum. Eine erste isolierende Füllstruktur grenzt an die Gate-Struktur und erstreckt sich vertikal vom Niveau einer Oberseite der Gate-Struktur zu mindestens einer Oberfläche der Isolierregion. Kein Abschnitt des konformalen Gate-Dielektrikums erstreckt sich vertikal zwischen der ersten isolierenden Füllstruktur und der Gate-Elektrode. Eine zweite isolierende Füllstruktur grenzt an die erste isolierende Füllstruktur und eine Endseitenwand der zweiten Finne. Die erste isolierende Füllstruktur ist seitlich zwischen der Gate-Struktur und der zweiten isolierenden Füllstruktur angeordnet.

Description

  • HINTERGRUND
  • In dem Maße, wie sich die Halbleiterindustrie im Bemühen um höhere Bauelementdichte, höhere Leistung und niedrigere Kosten in den Bereich der Nanotechnologie-Prozessknoten vorgearbeitet hat, haben die aus Herstellungs- und Designproblemen entstandenen Herausforderungen zur Entwicklung dreidimensionaler Designs, wie zum Beispiel einem mit Finnen versehenen Feldeffekttransistor (FinFET), geführt. FinFET-Bauelemente umfassen in der Regel Halbleiterfinnen mit hohen Seitenverhältnissen, in denen Kanal- und Source-/Drain-Regionen ausgebildet werden. Ein Gate wird über und entlang den Seiten der Finnenstruktur (zum Beispiel durch Umhüllen) ausgebildet, wobei der Vorteil der größeren Oberfläche des Kanals ausgenutzt wird, um schnellere, zuverlässigere und besser kontrollierte Halbleitertransistorbauelemente zu erhalten. In einigen Vorrichtungen können gedehnte Materialien in Source/Drain-Regionen des FinFET unter Verwendung beispielsweise von Silizium-Germanium (SiGe), Siliziumcarbid (SiC) und/oder Siliziumphosphid (SiP) dafür verwendet werden, die Trägermobilität zu erhöhen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es wird darauf hingewiesen, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Strukturelemente können im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
  • Die 1, 2, 3A-B, 4A-D, 5A-C, 6A-C, 7A-C, 8A-C, 9A-C, 10A-C, 11A-C, 12A-C, 13A-C, 14A-C und 15A-C sind verschiedene Ansichten jeweiliger Zwischenstrukturen auf Zwischenstufen in einem beispielhaften Prozess des Bildens einer Halbleitervorrichtung, die einen oder mehrere FinFETs gemäß einigen Ausführungsformen enthält.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann die Ausbildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Strukturelemente in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen enthalten, bei denen zusätzliche Strukturelemente zwischen den ersten und zweiten Strukturelementen ausgebildet sein können, so dass die ersten und zweiten Strukturelemente nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder - buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text verwendet werden, um die Beschreibung zu vereinfachen, um die Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen zu beschreiben, wie in den Figuren veranschaulicht. Die räumlich relativen Begriffe sollen neben der in den Figuren gezeigten Ausrichtung noch weitere Ausrichtungen der Vorrichtung während des Gebrauchs oder Betriebes umfassen. Die Vorrichtung kann auch anders ausgerichtet (90 Grad gedreht oder anders ausgerichtet) sein, und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Im vorliegenden Text werden Verfahren zum Schneiden von Gate-Strukturen und Finnen in einer Halbleitervorrichtung, die zum Beispiel Finnen-Feldeffekttransistoren (FinFETs) enthält, beschrieben. Allgemein wird ein Finnenschneidprozess ausgeführt, nachdem eine Ersatz-Gate-Struktur gebildet und geschnitten wurde. Dies kann, neben anderen Vorteilen, ein Prozessfenster für einige Prozesse vergrößern und kann in einigen Spannungsanwendungstechniken vermeiden, mechanische Spannungen in einer Finne lösen oder entspannen zu müssen.
  • Im vorliegenden Text beschriebene beispielhafte Ausführungsformen werden im Kontext von FinFETs beschrieben. Implementierungen einiger Aspekte der vorliegenden Offenbarung können in anderen Prozessen und/oder in anderen Vorrichtungen verwendet werden. Es werden einige Variationen der beispielhaften Verfahren und Strukturen beschrieben. Der Durchschnittsfachmann erkennt sofort weitere Modifizierungen, die vorgenommen werden können und innerhalb des Schutzumfangs anderer Ausführungsformen liegen. Obgleich Verfahrensausführungsformen in einer bestimmten Reihenfolge beschrieben sein können, können verschiedene andere Verfahrensausführungsformen in jeder logischen Reihenfolge ausgeführt werden und können weniger oder mehr Schritte enthalten, als im vorliegenden Text beschrieben sind.
  • In einigen Fällen in den beschriebenen Ausführungsformen können verschiedene Verluste, zum Beispiel in der Höhe, bei den veranschaulichten Strukturen während der Verarbeitung auftreten. Diese Verluste müssen nicht unbedingt explizit in den Figuren gezeigt oder im vorliegenden Text beschrieben sein, aber der Durchschnittsfachmann versteht ohne Weiteres, wie es zu solchen Verlusten kommen kann. Zu solchen Verluste kann es infolge eines Planarisierungsprozesses kommen, wie zum Beispiel eines chemisch-mechanischen Polierens (CMP), eines Ätzprozesses, wenn zum Beispiel die Struktur, die den Verlust erleidet, nicht das primäre Ziel des Ätzens ist, und anderer Prozesse.
  • Die 1, 2, 3A-B, 4A-D und 5A-C bis 15A-B sind verschiedene Ansichten jeweiliger Zwischenstrukturen während Zwischenstufen in einem beispielhaften Prozess des Bildens einer Halbleitervorrichtung, die einen oder mehrere FinFETs enthält, gemäß einigen Ausführungsformen. 1 veranschaulicht in einer Querschnittsansicht ein Halbleitersubstrat 20 mit einer unter Spannung gesetzten Halbleiterschicht 22, die darüber ausgebildet ist. Das Halbleitersubstrat 20 kann ein Volumen-Halbleitersubstrat, ein Halbleiter-auf-Isolator (SOI)-Substrat oder dergleichen sein oder enthalten, das dotiert (zum Beispiel mit einem Dotanden vom p-Typ oder vom n-Typ) oder undotiert sein kann. Allgemein umfasst ein SOI-Substrat eine Schicht eines Halbleitermaterials, das zu einer Isolatorschicht gebildet wird. Die Isolatorschicht kann zum Beispiel eine vergrabene Oxid (BOX)-Schicht, eine Siliziumoxid-Schicht oder dergleichen sein. Die Isolatorschicht wird auf einem Substrat, in der Regel einem Silizium- oder Glassubstrat, angeordnet. Andere Substrate, wie zum Beispiel ein mehrschichtiges oder Gradienten-Substrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial der Halbleitersubstratschicht Silizium (Si); Germanium (Ge); einen Verbundhalbleiter, einschließlich Siliziumcarbid, Gallium-Arsen, Galliumphosphid, Indiumphosphid, Indium-Arsenid oder Indium-Antimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP oder GaInAsP; oder eine Kombination enthalten.
  • Die unter Spannung gesetzte Halbleiterschicht 22 kann eine Druckspannung oder eine Zugspannung besitzen. In einigen Beispielen wird die unter Spannung gesetzte Halbleiterschicht 22 infolge eines heteroepitaxialen Wachstums auf dem Halbleitersubstrat 20 gespannt. Zum Beispiel enthält ein heteroepitaxiales Wachstum allgemein das epitaxiale Züchten eines gezüchteten Materials, das eine natürliche Gitterkonstante aufweist, die sich von der Gitterkonstante des Substratmaterials an der Oberfläche unterscheidet, auf der das gezüchtete Material epitaxial gezüchtet wird. Pseudomorphes Züchten des gezüchteten Materials auf dem Substratmaterial kann dazu führen, dass das gezüchtete Material eine Spannung aufweist. Wenn die natürliche Gitterkonstante des gezüchteten Materials größer ist als die Gitterkonstante des Substratmaterials, so kann die Spannung in dem gezüchteten Material eine Druckspannung sein, und wenn die natürliche Gitterkonstante des gezüchteten Materials kleiner ist als die Gitterkonstante des Substratmaterials, so kann die Spannung in dem gezüchteten Material eine Zugspannung sein. Zum Beispiel kann pseudomorphes Züchten von SiGe auf entspanntem Silizium dazu führen, dass das SiGe eine Druckspannung aufweist, und pseudomorphes Züchten von SiC auf entspanntem Silizium kann dazu führen, dass das SiC eine Druckspannung aufweist.
  • In anderen Beispielen kann die unter Spannung gesetzte Halbleiterschicht 22 heteroepitaxial auf einem Opfersubstrat gezüchtet und zu dem Halbleitersubstrat 20 übertragen werden. Die unter Spannung gesetzte Halbleiterschicht 22 kann pseudomorph auf dem Opfersubstrat gezüchtet werden, wie oben beschrieben. Dann kann die unter Spannung gesetzte Halbleiterschicht 22 (zum Beispiel mittels Waferbondung) unter Verwendung zweckmäßiger Techniken auf das Halbleitersubstrat 20 gebondet werden. Das Opfersubstrat kann dann von der unter Spannung gesetzten Halbleiterschicht 22 entfernt werden, wie zum Beispiel unter Verwendung einer Trennung-durch-Implantierung-von-Oxid (SIMOX)-Technik oder einer anderen Abtragstechnik. Die unter Spannung gesetzte Halbleiterschicht 22 kann dann, nachdem sie auf das Halbleitersubstrat 20 gebondet wurde, poliert werden, wie zum Beispiel durch ein chemisch-mechanisches Polieren (CMP). Durch Transferieren der unter Spannung gesetzten Halbleiterschicht 22 auf diese Weise kann mehr Flexibilität bei der Auswahl von Materialien, Spannungen, Materialdicken usw. ermöglicht werden, da die Spannung der unter Spannung gesetzten Halbleiterschicht 22 nicht vom Wachstum auf dem Halbleitersubstrat 20 abhängig ist.
  • Die unter Spannung gesetzte Halbleiterschicht 22 kann Silizium, Silizium-Germanium (SixGe1-x, wo x zwischen ungefähr o und 100 liegen kann), Siliziumcarbid, reines oder im Wesentlichen reines Germanium, ein III-V-Verbundhalbleiter, ein II-VI-Verbundhalbleiter oder dergleichen sein oder enthalten. Zum Beispiel gehören zu Materialien zum Bilden eines III-V-Verbundhalbleiters InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen. Des Weiteren kann die unter Spannung gesetzte Halbleiterschicht 22 epitaxial mittels metall-organischer chemischer Aufdampfung (MOCVD), Molekularstrahlepitaxie (MBE), Flüssigphasenepitaxie (LPE), Dampfphasenepitaxie (VPE), selektiven epitaxialen Wachstums (SEG), dergleichen oder einer Kombination davon auf dem Halbleitersubstrat 20 oder einem Opfersubstrat gezüchtet werden.
  • 2 veranschaulicht in einer Querschnittsansicht die Bildung von Finnen 24 in der unter Spannung gesetzten Halbleiterschicht 22 und/oder dem Halbleitersubstrat 20. In einigen Beispielen wird eine Maske (zum Beispiel eine Hartmaske) zum Bilden der Finnen 24 verwendet. Zum Beispiel werden eine oder mehrere Maskenschichten über der unter Spannung gesetzten Halbleiterschicht 22 abgeschieden, und die eine oder die mehreren Maskenschichten werden dann zu der Maske strukturiert. In einigen Beispielen können die eine oder die mehreren Maskenschichten Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, Silizium-Kohlenstoffnitrid, dergleichen oder eine Kombination davon enthalten oder sein und können durch chemische Aufdampfung (CVD), physikalische Aufdampfung (PVD), Atomschichtabscheidung (ALD) oder eine andere Abscheidungstechnik abgeschieden werden. Die eine oder die mehreren Maskenschichten können unter Verwendung von Photolithografie strukturiert werden. Zum Beispiel kann ein Photoresist auf der einen oder den mehreren Maskenschichten, wie zum Beispiel unter Verwendung von Aufschleudern, ausgebildet werden, und kann strukturiert werden, indem der Photoresist unter Verwendung einer zweckmäßigen Photomaske belichtet wird. Belichtete oder unbelichtete Abschnitte des Photoresists können dann entfernt werden, je nachdem, ob ein positiver oder ein negativer Resist verwendet wird. Die Struktur des Photoresists kann dann zu der einen oder den mehreren Maskenschichten übertragen werden, wie zum Beispiel unter Verwendung eines geeigneten Ätzprozesses, der die Maske bildet. Der Ätzprozess kann ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE), induktiv-gekoppeltes Plasma (ICP)-Ätzen, dergleichen oder eine Kombination davon enthalten. Das Ätzen kann anisotrop sein. Anschließend wird der Photoresist zum Beispiel in einem Ashing- oder Nassabziehprozess entfernt.
  • Unter Verwendung der Maske können die unter Spannung gesetzte Halbleiterschicht 22 und/oder das Halbleitersubstrat 20 dergestalt geätzt werden, dass Gräben zwischen benachbarten Paaren von Finnen 24 gebildet werden, und dergestalt, dass die Finnen 24 von dem Halbleitersubstrat 20 hervorstehen. Der Ätzprozess kann ein RIE-, NBE-, ICP-Ätzen, dergleichen oder eine Kombination davon enthalten. Das Ätzen kann anisotrop sein.
  • Obgleich die im vorliegenden Text beschriebenen Beispiele im Kontext einer Spannungstechnik für die Finnen 24 beschrieben sind (zum Beispiel enthalten die Finnen 24 jeweilige Abschnitte der unter Spannung gesetzten Halbleiterschicht 22), brauchen andere Beispiele eine solche Spannungstechnik nicht zu implementieren. Zum Beispiel können die Finnen 24 aus einen Volumen-Halbleitersubstrat (zum Beispiel dem Halbleitersubstrat 20) ohne eine unter Spannung gesetzte Halbleiterschicht gebildet werden. Außerdem kann die unter Spannung gesetzte Halbleiterschicht 22 bei den anschließenden Figuren weggelassen werden, um die Figuren übersichtlicher zu gestalten. In einigen Ausführungsformen, bei denen eine solche unter Spannung gesetzte Halbleiterschicht aus spannungstechnischen Gründen implementiert wird, kann die unter Spannung gesetzte Halbleiterschicht 22 als Teil der Finnen 24 selbst vorhanden sein, auch wenn es nicht explizit veranschaulicht ist; und in einigen Ausführungsformen, bei denen eine solche unter Spannung gesetzte Halbleiterschicht nicht aus spannungstechnischen Gründen implementiert wird, können die Finnen 24 aus dem Halbleitersubstrat 20 gebildet werden.
  • 3A und 3B veranschaulichen, in einer Querschnittsansicht bzw. einer Draufsicht, die Bildung von Isolierregionen 26 jeweils in einem entsprechenden Graben. Die Isolierregionen 26 können ein isolierendes Material wie zum Beispiel ein Oxid (wie zum Beispiel Siliziumoxid), ein Nitrid, dergleichen oder eine Kombination davon enthalten oder sein, und das isolierende Material kann durch Hochdichtes-Plasma-CVD (HDP-CVD), fließfähiges CVD (FCVD) (zum Beispiel Abscheiden eines CVD-basierten Materials in einem räumlich abgesetzten Plasmasystem und anschließendes Aushärten, um es in ein anderes Material umzuwandeln, wie zum Beispiel ein Oxid), dergleichen oder eine Kombination davon gebildet werden. Es können auch andere isolierende Materialien verwendet werden, die durch irgend einen akzeptablen Prozess ausgebildet werden. In der veranschaulichten Ausführungsform enthalten die Isolierregionen 26 Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann alles überschüssige isolierende Material und alle Reste einer Maske (die zum Beispiel zum Ätzen der Gräben und zum Bilden der Finnen 24 verwendet wird) entfernen, um Oberseiten des isolierenden Materials und Oberseiten der Finnen 24 koplanar zu machen. Das isolierende Material kann dann ausgespart werden, um die Isolierregionen 26 zu bilden. Das isolierende Material wird so ausgespart, dass die Finnen 24 zwischen benachbarten Isolierregionen 26 hervorstehen, wodurch, wenigstens zum Teil, die Finnen 24 als aktive Bereiche auf dem Halbleitersubstrat 20 abgegrenzt werden können. Das isolierende Material kann unter Verwendung eines akzeptablen Ätzprozesses ausgespart werden, wie zum Beispiel eines solchen, der für das Material des isolierenden Materials selektiv ist. Zum Beispiel kann ein chemischer Oxidabtrag unter Verwendung eines CERTAS®-Ätzens oder ein SICONI-Tool von Applied Materials oder verdünnte Fluorwasserstoff (DHF)-Säure verwendet werden. Des Weiteren können Oberseiten der Isolierregionen 26 eine flache Oberfläche, wie veranschaulicht, eine konvexe Oberfläche, eine konkave Oberfläche (wie zum Beispiel Napfbildung) oder eine Kombination davon haben, die durch einen Ätzprozess entstehen kann. Wie in der Draufsicht von 3B veranschaulicht, erstrecken sich die Finnen 24 in Längsrichtung über das Halbleitersubstrat 20 hinweg.
  • Der Durchschnittsfachmann erkennt ohne Weiteres, dass die mit Bezug auf die 1 bis 3A-B beschriebenen Prozesse nur Beispiele sind, wie Finnen 24 gebildet werden können. In anderen Ausführungsformen kann eine Dielektrikumschicht über einer Oberseite des Halbleitersubstrats 20 gebildet werden; Gräben können durch die Dielektrikumschicht geätzt werden; homoepitaxiale Strukturen können epitaxial in den Gräben (zum Beispiel ohne Spannungstechnik) gezüchtet werden; und die Dielektrikumschicht kann dergestalt ausgespart werden, dass die homoepitaxialen Strukturen von der Dielektrikumschicht hervorstehen, um Finnen zu bilden. In weiteren Ausführungsformen können heteroepitaxiale Strukturen für die Finnen verwendet werden. Zum Beispiel können die Finnen 24 ausgespart werden (zum Beispiel nach dem Planarisieren des isolierenden Materials der Isolierregionen 26 und vor dem Aussparen des isolierenden Materials), und ein Material, das von dem der Finnen verschieden ist, kann an ihrer Stelle epitaxial gezüchtet werden. In einer weiteren Ausführungsform kann eine Dielektrikumschicht über einer Oberseite des Halbleitersubstrats 20 gebildet werden; Gräben können durch die Dielektrikumschicht geätzt werden; heteroepitaxiale Strukturen können epitaxial in den Gräben unter Verwendung eines Materials, das von dem des Halbleitersubstrats 20 verschieden ist, gezüchtet werden (zum Beispiel mit Spannungstechnik); und die Dielektrikumschicht kann dergestalt ausgespart werden, dass die heteroepitaxialen Strukturen von der Dielektrikumschicht hervorstehen, um Finnen zu bilden. In einigen Ausführungsformen, in denen homoepitaxiale oder heteroepitaxiale Strukturen epitaxial gezüchtet werden, können die gezüchteten Materialien während des Wachstums in-situ dotiert werden, wodurch eine vorherige Implantierung der Finnen überflüssig werden kann, obgleich in-situ- und Implantierungsdotierung gemeinsam verwendet werden können. Des Weiteren kann es vorteilhaft sein, epitaxial ein Material für eine Vorrichtung vom n-Typ zu züchten, das von dem Material für eine Vorrichtung vom p-Typ verschieden ist.
  • Die 4A-4B 4C und 4D veranschaulichen die Bildung von Dummy-Gate-Stapeln auf den Finnen 24. Die 4A und 4B veranschaulichen Querschnittsansichten; 4C veranschaulicht eine Draufsicht; und 4D veranschaulicht eine dreidimensionale Ansicht. 4D veranschaulicht Querschnitte A-A und B-B. Die 1, 2, 3A, 4A und die folgenden Figuren, die mit einer „A“-Bezeichnung versehen sind, veranschaulichen Querschnittsansichten auf verschiedenen Stufen der Verarbeitung, die Querschnitt A-A entsprechen, und 4B und die folgenden Figuren, die mit einer „B“-Bezeichnung enden, veranschaulichen Querschnittsansichten auf verschiedenen Stufen der Verarbeitung, die Querschnitt B-B entsprechen. In einigen Figuren können einige Bezugszahlen von darin veranschaulichten Komponenten oder Strukturelementen weggelassen werden, um zu vermeiden, dass andere Komponenten oder Strukturelemente in den Hintergrund treten; das dient der Vereinfachung der Darstellung der Figuren.
  • Die Dummy-Gate-Stapel liegen über den, und erstrecken sich seitlich senkrecht zu den, Finnen 24. Jeder Dummy-Gate-Stapel umfasst ein oder mehrere Grenzschichtdielektrika 28, ein Dummy-Gate 30 und eine Maske 32. Das eine oder die mehreren Grenzschichtdielektrika 28, die Dummy-Gates 30 und die Maske 32 für die Dummy-Gate-Stapel können gebildet werden, indem man nacheinander jeweilige Schichten bildet und dann diese Schichten zu den Dummy-Gate-Stapeln strukturiert. Zum Beispiel kann eine Schicht für das eine oder die mehreren Grenzschichtdielektrika 28 Siliziumoxid, Siliziumnitrid, dergleichen oder Mehrfachschichten davon enthalten oder sein, und kann thermisch und/oder chemisch auf den Finnen 24 gezüchtet werden, wie veranschaulicht, oder konformal abgeschieden werden, wie zum Beispiel durch Plasma-verstärktes CVD (PECVD), ALD oder eine andere Abscheidungstechnik. Eine Schicht für die Dummy-Gates 30 kann Silizium (zum Beispiel Polysilizium) oder ein anderes Material enthalten oder sein, das durch CVD, PVD oder eine andere Abscheidungstechnik abgeschieden wird. Eine Schicht für die Maske 32 kann Siliziumnitrid, Siliziumoxynitrid, Silizium-Kohlenstoffhitrid, dergleichen oder eine Kombination davon enthalten oder sein, das durch CVD, PVD, ALD oder eine andere Abscheidungstechnik abgeschieden wird. Die Schichten für die Maske 32, die Dummy-Gates 30 und ein oder mehrere Grenzschichtdielektrika 28 können dann strukturiert werden, zum Beispiel unter Verwendung von Photolithografie und eines oder mehrerer Ätzprozesse, wie oben beschriebenen, um die Maske 32, das Dummy-Gate 30 und ein oder mehrere Grenzschichtdielektrika 28 für jeden Dummy-Gate-Stapel zu bilden.
  • Der Querschnitt A-A verläuft entlang eines Gate-Stapels, durch den in anschließenden Figuren und Beschreibungen ein Schnitt ausgeführt wird. Der Querschnitt B-B verläuft entlang einer Finne 24 (zum Beispiel entlang einer Kanalrichtung in der Finne 24), durch die in anschließenden Figuren und Beschreibungen ein Schnitt ausgeführt wird. Die Querschnitte A-A und B-B verlaufen senkrecht zueinander.
  • Die 5A, 5B und 5C veranschaulichen die Bildung von Gate-Abstandshaltern 34. Gate-Abstandshalter 34 werden entlang Seitenwänden der Dummy-Gate-Stapel (zum Beispiel Seitenwänden des einen oder der mehreren Grenzschichtdielektrika 28, des Dummy-Gates 30 und der Maske 32) und über der Finnen 24 gebildet. Außerdem können restliche Gate-Abstandshalter 34 entlang freiliegender Seitenwände der Finnen 24 gebildet werden, wie in den Figuren veranschaulicht. Die Gate-Abstandshalter 34 können zum Beispiel durch konformales Abscheiden einer oder mehrerer Schichten für die Gate-Abstandshalter 34 und anisotropes Ätzen der einen oder der mehreren Schichten gebildet werden. Die eine oder die mehreren Schichten für die Gate-Abstandshalter 34 können Siliziumnitrid, Siliziumoxynitrid, Silizium-Kohlenstoffnitrid, dergleichen, Mehrfachschichten oder eine Kombination davon enthalten oder sein, und der Ätzprozess kann einen RIE-, NBE- oder einen sonstigen Ätzprozess enthalten.
  • Source/Drain-Regionen 35 werden in den Finnen 24 gebildet. In einigen Beispielen werden die Source/Drain-Regionen 35 durch Implantieren von Dotanden in die Finnen 24 unter Verwendung der Dummy-Gate-Stapel und Gate-Abstandshalter 34 als Masken gebildet. Darum können die Source/Drain-Regionen 35 durch Implantierung auf gegenüberliegenden Seiten jedes Dummy-Gate-Stapels gebildet werden. In anderen Beispielen können die Finnen 24 unter Verwendung der Dummy-Gate-Stapel und der Gate-Abstandshalter 34 als Masken ausgespart werden, und epitaxiale Source/Drain-Regionen 35 können epitaxial in den Aussparungen gezüchtet werden. Die epitaxialen Source/Drain-Regionen 35 können in Bezug auf die Finne 24 erhöht sein, wie durch Strichlinien in 5B veranschaulicht. Die epitaxialen Source/Drain-Regionen 35 können durch in-situ-Dotierung während des epitaxialen Wachstums und/oder durch Implantierung nach dem epitaxialen Wachstum dotiert werden. Darum können die Source/Drain-Regionen 35 durch epitaxiales Wachstum, und möglicherweise mit Implantierung, auf gegenüberliegenden Seiten jedes Dummy-Gate-Stapels gebildet werden. Beispielhafte Dotanden für die Source/Drain-Regionen 35 können zum Beispiel Bor für eine Vorrichtung vom p-Typ und Phosphor oder Arsen für eine Vorrichtung vom n-Typ enthalten oder sein, obgleich auch andere Dotanden verwendet werden können. Die Source/Drain-Regionen 35 können eine Dotandenkonzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 haben. Die Source/Drain-Regionen 35 sind in anschließenden Figuren nicht explizit veranschaulicht, um zu vermeiden, dass andere in diesen Figuren gezeigte Merkmale und Komponenten in den Hintergrund treten; jedoch erkennt der Durchschnittsfachmann sofort, dass die Source/Drain-Regionen 35 in den Strukturen, die in diesen Figuren gezeigt sind, vorhanden sind.
  • Die 6A, 6B und 6C veranschaulichen die Bildung einer oder mehrerer Dielektrikumschichten 36. Die eine oder die mehreren Dielektrikumschichten 36 können zum Beispiel eine Ätzstoppschicht (ESL) und ein Zwischenschichtdielektrikum (ILD) enthalten. Allgemein kann eine Ätzstoppschicht einen Mechanismus zum Stoppen eines Ätzprozesses bereitstellen, wenn zum Beispiel Kontakte oder Durchkontaktierungen gebildet werden. Eine Ätzstoppschicht kann aus einem dielektrischen Material bestehen, das eine andere Ätzselektivität als benachbarte Schichten, zum Beispiel das Zwischenschichtdielektrikum, aufweist. Die Ätzstoppschicht kann konformal über den Finnen 24, den Dummy-Gate-Stapeln, den Gate-Abstandshaltern 34 und den Isolierregionen 26 abgeschieden werden. Die Ätzstoppschicht kann Siliziumnitrid, Silizium-Kohlenstoffnitrid, Siliziumkohlenoxid, Kohlenstoffnitrid, dergleichen oder eine Kombination davon umfassen oder sein und kann durch CVD, PECVD, ALD oder eine andere Abscheidungstechnik abgeschieden werden. Das Zwischenschichtdielektrikum kann umfassen oder sein: Siliziumdioxid, ein dielektrisches Material mit niedrigem k-Wert (zum Beispiel ein Material, das eine Dielektrizitätskonstante kleiner als die von Siliziumdioxid aufweist), wie zum Beispiel Siliziumoxynitrid, Phosphosilikatglas (PSG), Borsilikatglas (BSG), Borphosphosilikatglas (BPSG), undotiertes Silikatglas (USG), fluoriertes Silikatglas (FSG), Organosilikatglas (OSG), SiOxCy, Spin-on-Glas, Spin-on-Polymere, Siliziumkohlenstoff-Material, eine Verbindung davon, ein Verbundmaterial davon, dergleichen oder eine Kombination davon. Das Zwischenschichtdielektrikum kann durch Aufschleudern, CVD, FCVD, PECVD, PVD oder eine andere Abscheidungstechnik abgeschieden werden.
  • Die eine oder die mehreren Dielektrikumschichten 36 werden mit einer oder mehreren Oberseiten ausgebildet, die mit Oberseiten der Dummy-Gates 30 koplanar sind. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann ausgeführt werden, um die Oberseite der einen oder mehreren Dielektrikumschichten 36 mit den Oberseiten der Dummy-Gates 30 zu nivellieren. Das CMP kann ebenfalls die Maske 32 (und in einigen Fällen obere Abschnitte der Gate-Abstandshalter 34) auf den Dummy-Gates 30 entfernen. Dementsprechend werden Oberseiten der Dummy-Gates 30 durch die eine oder die mehreren Dielektrikumschichten 36 freigelegt. Es ist anzumerken, dass die Dummy-Gates 30 gewisse Verluste aufgrund des Planarisierungsprozesses erleiden können.
  • 7A, 7B und 7C veranschaulichen das Entfernen der Dummy-Gate-Stapel. Die Dummy-Gates 30 und ein oder mehrere Grenzschichtdielektrika 28 werden entfernt, wie zum Beispiel durch einen oder mehrere Ätzprozesse. Die Dummy-Gates 30 können durch einen Ätzprozess entfernt werden, der für die Dummy-Gates 30 selektiv ist, wobei das eine oder die mehreren Grenzschichtdielektrika 28 als Ätzstoppschichten wirken, und anschließend können das eine oder die mehreren Grenzschichtdielektrika 28 durch einen anderen Ätzprozess entfernt werden, der für das eine oder die mehreren Grenzschichtdielektrika 28 selektiv ist. Die Ätzprozesse können zum Beispiel ein RIE-, NBE-, ein Nassätz- oder ein sonstiger Ätzprozess sein. Aussparungen 40 werden zwischen Gate-Abstandshaltern 34 gebildet, wo die Dummy-Gate-Stapel entfernt werden, und Kanalregionen der Finnen 24 werden durch die Aussparungen 40 hindurch freigelegt.
  • 8A, 8B und 8C veranschaulichen die Bildung von Ersatz-Gate-Strukturen in den Aussparungen 40. Die Ersatz-Gate-Strukturen enthalten jeweils konformale Schichten und eine Gate-Elektrode 44. Die konformalen Schichten enthalten eine Gate-Dielektrikumschicht 42 und eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43.
  • Die Gate-Dielektrikumschicht 42 wird konformal in den Aussparungen 40 (zum Beispiel auf Oberseiten der Isolierregionen 26, Seitenwänden und Oberseiten der Finnen 24 entlang den Kanalregionen, und Seitenwänden und Oberseiten der Gate-Abstandshalter 34) und auf der Oberseite der einen oder mehreren Dielektrikumschichten 36 abgeschieden. Die Gate-Dielektrikumschicht 42 kann Siliziumoxid, Siliziumnitrid, ein dielektrisches Material mit hohem k-Wert, Mehrfachschichten oder ein sonstiges dielektrisches Material sein oder enthalten. Ein dielektrisches Material mit hohem k-Wert kann einen k-Wert größer als etwa 7,0 haben und kann ein Metalloxid oder ein Metallsilikat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb oder eine Kombination davon enthalten. Die Gate-Dielektrikumschicht 42 kann durch ALD, PECVD, MBD oder eine andere Abscheidungstechnik abgeschieden werden.
  • Dann wird eine Sperr- und/oder Austrittsarbeits-Abstimmschicht 43 konformal auf der Gate-Dielektrikumschicht 42 abgeschieden. Die Sperr- und/oder Austrittsarbeits-Abstimmschicht 43 kann Tantal, Tantalnitrid, Titan, Titannitrid, dergleichen oder eine Kombination davon enthalten oder sein und kann durch ALD, PECVD, MBD oder eine andere Abscheidungstechnik abgeschieden werden. Anschließend können beliebige zusätzliche Sperr- und/oder Austrittsarbeits-Abstimmschichten ähnlich der ersten Sperr- und/oder Austrittsarbeits-Abstimmschicht abgeschieden werden.
  • Eine Schicht für die Gate-Elektroden 44 wird über der einen oder den mehreren Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 ausgebildet. Die Schicht für die Gate-Elektroden 44 kann verbliebene Regionen ausfüllen, wo die Dummy-Gate-Stapel entfernt werden. Die Schicht für die Gate-Elektroden 44 kann eine Metall-haltige Material, wie zum Beispiel Co, Ru, Al, W, Cu, Mehrfachschichten oder eine Kombination davon sein oder umfassen. Die Schicht für die Gate-Elektroden 44 kann durch ALD, PECVD, MBD, PVD oder eine andere Abscheidungstechnik abgeschieden werden.
  • Abschnitte der Schicht für die Gate-Elektroden 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42 über der Oberseite der einen oder mehreren Dielektrikumschichten 36 werden entfernt. Zum Beispiel kann ein Planarisierungsprozess, wie ein CMP, die Abschnitte der Schicht für die Gate-Elektroden 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42 über der Oberseite der einen oder mehreren Dielektrikumschichten 36 entfernen. Die Ersatz-Gate-Strukturen, die die Gate-Elektroden 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42 umfassen, können darum so ausgebildet werden, wie in 8A-C veranschaulicht. Es ist anzumerken, dass die eine oder die mehreren Dielektrikumschichten 36 gewisse Verlustes aufgrund des Planarisierungsprozesses erleiden können.
  • Die 9A, 9B und 9C veranschaulichen das Schneiden der Ersatz-Gate-Strukturen. Das Schneiden der Ersatz-Gate-Strukturen bildet Gate-Schnitt-Füllstrukturen 50, die sich seitlich senkrecht zu den Ersatz-Gate-Strukturen erstrecken und die Ersatz-Gate-Strukturen zertrennen. Wie unten angedeutet, ist in einigen Beispielen die Gate-Schnitt-Füllstruktur 50 ein isolierendes Material, und darum können Abschnitte einer Ersatz-Gate-Struktur, die vor dem Schneiden der Ersatz-Gate-Struktur integral waren, dank der Gate-Schnitt-Füllstruktur 50 zu elektrisch voneinander isolierten Sektionen gemacht werden.
  • In einigen Beispielen wird eine Maske (zum Beispiel eine Hartmaske) verwendet, um die Ersatz-Gate-Strukturen zu schneiden. Zum Beispiel werden eine oder mehrere Maskenschichten über den Ersatz-Gate-Strukturen, den Gate-Abstandshaltern 34 und der einen oder den mehreren Dielektrikumschichten 36 abgeschieden, und die eine oder die mehreren Maskenschichten werden dann zu der Maske strukturiert. In einigen Beispielen können die eine oder die mehreren Maskenschichten Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, Silizium-Kohlenstoffnitrid, dergleichen oder eine Kombination davon enthalten oder sein, und können durch CVD, PVD, ALD oder eine andere Abscheidungstechnik abgeschieden werden. Die eine oder die mehreren Maskenschichten können unter Verwendung von Photolithografie- und Ätzprozessen strukturiert werden, wie zuvor beschrieben. Die Maske kann Öffnungen haben, die sich in einer Richtung seitlich senkrecht zu den Ersatz-Gate-Strukturen erstrecken und diese schneiden.
  • Unter Verwendung der Maske können die Ersatz-Gate-Strukturen, die Gate-Abstandshalter 34 und eine oder mehrere Dielektrikumschichten 36 dergestalt geätzt werden, dass Gräben gebildet werden, die die Ersatz-Gate-Strukturen zertrennen. Die Gräben können sich auf eine Tiefe bis zu den, und/oder in die, entsprechenden Isolierregionen 26 erstrecken, zum Beispiel durch die Gate-Elektroden 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42. Der Ätzprozess kann ein RIE, NBE- oder ICP-Ätzen, dergleichen oder eine Kombination davon enthalten. Das Ätzen kann anisotrop sein. Ein isolierendes Material für die Gate-Schnitt-Füllstrukturen 50 wird in den Gräben abgeschieden, welche die Ersatz-Gate-Strukturen zertrennen. In einigen Beispielen kann jede der Gate-Schnitt-Füllstrukturen 50 ein individuelles isolierendes Material sein, und in anderen Beispielen können die Gate-Schnitt-Füllstrukturen 50 mehrere verschiedene isolierende Materialien enthalten, wie zum Beispiel in einer mehrschichtigen Konfiguration. In einigen Beispielen kann das isolierende Material Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, Silizium-Kohlenstoffnitrid, dergleichen oder eine Kombination davon enthalten oder sein und kann durch CVD, PVD, ALD oder eine andere Abscheidungstechnik abgeschieden werden. Abschnitte des isolierenden Materials für die Gate-Schnitt-Füllstrukturen 50 und die Maske über der Oberseite der einen oder der mehreren Dielektrikumschichten 36 werden entfernt. Zum Beispiel kann ein Planarisierungsprozess, wie ein CMP, die Abschnitte des isolierenden Materials für die Gate-Schnitt-Füllstrukturen 50 und die Maske über der Oberseite der einen oder der mehreren Dielektrikumschichten 36 entfernen, und Oberseiten der Gate-Schnitt-Füllstrukturen 50 können koplanar mit der Oberseite der einen oder der mehreren Dielektrikumschichten 36 ausgebildet werden. Es ist anzumerken, dass die Ersatz-Gate-Strukturen und eine oder mehrere Dielektrikumschichten 36 aufgrund des Planarisierungsprozesses gewisse Verluste erleiden können. Die Gate-Schnitt-Füllstrukturen 50 isolieren darum Sektionen der Ersatz-Gate-Strukturen, die geschnitten wurden, elektrisch voneinander. Wie in 9A veranschaulicht, erstrecken sich die Gate-Dielektrikumschicht 42 und/oder eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 nicht vertikal entlang einer Seitenwand der Gate-Schnitt-Füllstrukturen 50, wenn die Ersatz-Gate-Strukturen geschnitten werden, nachdem die Ersatz-Gate-Strukturen gebildet (zum Beispiel abgeschieden) wurden. Obgleich die Gate-Schnitt-Füllstrukturen 50 in 9A so veranschaulicht sind, dass sie ein positives Verjüngungsprofil aufweisen (zum Beispiel haben Seitenwände von Komponenten, die an die Gate-Schnitt-Füllstrukturen 50 angrenzen, jeweils Winkel mit den Unterseiten der Komponenten, die an die Seitenwände angrenzen, von weniger als 90 Grad innerhalb dieser Komponenten), können die Gate-Schnitt-Füllstrukturen 50 ein vertikales Profil (zum Beispiel Winkel, die 90 Grad betragen) oder ein in sich zurückkehrendes Profil (zum Beispiel Winkel, die größer als 90 Grad sind) haben. Das Ätzen zum Bilden der Gräben, in denen die Gate-Schnitt-Füllstrukturen 50 ausgebildet werden, kann zur Entstehung solcher Profile führen.
  • Die 10A, 10B und 10C veranschaulichen die Bildung einer Maske 52 mit geschnitter Öffnung 54, die zum Schneiden von Finnen 24 verwendet wird. Zum Beispiel werden eine oder mehrere Maskenschichten über den Ersatz-Gate-Strukturen, den Gate-Abstandshaltern 34, der einen oder den mehreren Dielektrikumschichten 36 und der Gate-Schnitt-Füllstruktur 50 abgeschieden, und die eine oder die mehreren Maskenschichten werden dann zu der Maske strukturiert. In einigen Beispielen können die eine oder die mehreren Maskenschichten Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, Silizium-Kohlenstoffnitrid, dergleichen oder eine Kombination davon enthalten oder sein und können durch CVD, PVD, ALD oder eine andere Abscheidungstechnik abgeschieden werden. Die eine oder die mehreren Maskenschichten werden so strukturiert, dass sie die geschnittene Öffnung 54 aufwiesen, um dadurch die Maske 52 zu bilden. Die eine oder die mehreren Maskenschichten können unter Verwendung von Photolithografie und Ätzprozessen strukturiert werden, wie zuvor beschrieben. Die geschnittene Öffnung 54 legt mindestens eine Sektion einer Ersatz-Gate-Struktur zwischen einem Paar Gate-Schnitt-Füllstrukturen 50 frei; diese Sektion der Ersatz-Gate-Struktur wird entfernt. Wie anschließend noch offenbar wird, ist das Entfernen der Sektion der Ersatz-Gate-Struktur allgemein selbstausrichtend, und die Verarbeitung kann eine gewisse Fehlausrichtung zwischen der geschnittenen Öffnung 54 durch die Maske 52 und den Gate-Schnitt-Füllstrukturen 50 auf gegenüberliegenden Seiten der Sektion der zu entfernenden Ersatz-Gate-Struktur tolerieren. Wie in 10A veranschaulicht, bildet die geschnittene Öffnung 54 einen Überhang um eine Fehlausrichtungsabmessung D1 über einer Gate-Schnitt-Füllstruktur 50. Des Weiteren braucht, wie in 10B gezeigt, die geschnittene Öffnung 54 in einigen Fällen nicht auf die Sektion der zu entfernenden Ersatz-Gate-Struktur ausgerichtet zu sein.
  • Die 11A, 11B und 11C veranschaulichen das Entfernen der Sektion einer Ersatz-Gate-Struktur, von der mindestens ein Abschnitt durch die geschnittene Öffnung 54 hindurch freigelegt wird. Das Entfernen kann durch einen oder mehrere Ätzprozesse erfolgen. Die Ätzprozesse können isotrop und für die Materialien der Gate-Elektrode 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42 selektiv sein. Zum Beispiel können der eine oder die mehreren Ätzprozesse ein Nassätzprozess, der zum Beispiel eine Schwefel-Peroxid-Mischung (SPM) enthält (zum Beispiel ein Gemisch aus H2SO4 und H2O2), eine Hochtemperatur-Standardreinigung 1 (SC1) (zum Beispiel ein Gemisch aus NH4OH, H2O und H2O) oder ein anderer Ätzprozess sein. Mit einem isotropen Ätzen, das für die Materialien der Gate-Elektrode 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42 selektiv ist, kann die Sektion der Ersatz-Gate-Struktur, die mindestens einen Abschnitt aufweist, der durch die geschnittene Öffnung 54 hindurch frei liegt, entfernt werden - sogar Abschnitte, die aufgrund der Fehlausrichtung unter der Maske 52 liegen können. Des Weiteren, wie veranschaulicht, weil das Ätzen für die Materialien der Gate-Elektrode 44, eine oder mehrere Sperr- und/oder Austrittsarbeits-Abstimmschichten 43 und die Gate-Dielektrikumschicht 42 selektiv ist, entfernt das Ätzen keine frei liegenden Abschnitte der einen oder der mehreren Dielektrikumschichten 36, der Gate-Abstandshalter 34 und der Gate-Schnitt-Füllstrukturen 50. Das Entfernen der Sektion der Ersatz-Gate-Struktur bildet eine Öffnung 60 zwischen den Gate-Abstandshaltern 34 und den Gate-Schnitt-Füllstrukturen 50 entlang der Sektion der Ersatz-Gate-Struktur, die entfernt wurde.
  • Die 12A, 12B und 12C veranschaulichen das Schneiden von Finnen, die durch die geschnittene Öffnung 54 hindurch frei liegen, durch die Maske 52 und die Öffnung 60, wobei die Sektion der Ersatz-Gate-Struktur entfernt wurde. Das Schneiden der Finnen 24 entfernt Abschnitte der Finnen 24, die durch die Öffnungen 54 und 60 freigelegt werden, bis mindestens auf ein Niveau der Oberseite der benachbarten Isolierregionen 26 und kann des Weiteren Abschnitte der Finnen 24 zwischen diesen Isolierregionen 26 und Abschnitte des Halbleitersubstrats 20 unter den Isolierregionen 26 entfernen, um Aussparungen 62 in dem Halbleitersubstrat 20 zu bilden. Das Schneiden der Finnen 24 kann unter Verwendung eines Ätzprozesses erfolgen. Der Ätzprozess kann für die Materialien der Finnen 24 und des Halbleitersubstrats 20 selektiv sein. Der Ätzprozess kann ein RIE-, NBE- oder ICP-Ätzen, dergleichen oder eine Kombination davon enthalten. Das Ätzen kann anisotrop sein. Es ist anzumerken, dass die Gate-Abstandshalter 34, eine oder mehrere Dielektrikumschichten 36 und/oder die Isolierregionen 26, die durch die geschnittene Öffnung 54 und/oder Öffnung 60 hindurch frei gelegt werden, gewisse Verluste während des Ätzprozesses erleiden können.
  • In einigen Beispielen können die Breiten der Gate-Schnitt-Füllstrukturen 50 (zum Beispiel wie in 12A veranschaulicht) kleiner sein als die, die durch andere Prozesse gebildet werden können. Dies kann zu einer größeren Distanz D2 zwischen einer Gate-Schnitt-Füllstruktur 50 und einer nächstliegenden Aussparung 62, die durch Schneiden der Finnen 24 gebildet wird, führen. Eine größere Distanz D2 kann zu reduziertem Unterschneiden oder Unterätzen beispielsweise des Halbleitersubstrats 20 unter der Gate-Schnitt-Füllstruktur 50 führen, wenn die Aussparungen 62 gebildet werden.
  • 13A, 13B und 13C veranschaulichen die Ausbildung eines Füllmaterials 66 in der Öffnung 60, wobei die Sektion der Ersatz-Gate-Struktur entfernt wurde, und in den Aussparungen 62. Das Füllmaterial 66 kann ein isolierendes Material sein. In einigen Beispielen kann das Füllmaterial 66 ein einzelnes isolierenden Material sein, und in anderen Beispielen kann das Füllmaterial 66 mehrere verschiedene isolierende Materialien enthalten, wie zum Beispiel in einer mehrschichtigen Konfiguration. Das Füllmaterial 66 kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, Silizium-Kohlenstoffnitrid, dergleichen oder eine Kombination davon enthalten oder sein und kann durch CVD, PVD, ALD oder eine andere Abscheidungstechnik abgeschieden werden. Abschnitte des Füllmaterials 66 über der Oberseite der einen oder der mehreren Dielektrikumschichten 36 und der Maske 52 werden entfernt. Zum Beispiel kann ein Planarisierungsprozess, wie ein CMP, die Abschnitte des Füllmaterials 66 über der Oberseite der einen oder der mehreren Dielektrikumschichten 36 entfernen, und kann die Maske 52 entfernen, und Oberseiten des Füllmaterials 66 können koplanar mit der Oberseite der einen oder der mehreren Dielektrikumschichten 36, der Gate-Schnitt-Füllstrukturen 50 usw. ausgebildet werden. Das Füllmaterial 66 isoliert darum Sektionen der Finnen 24, die geschnitten wurden, elektrisch voneinander. Es ist anzumerken, dass die Ersatz-Gate-Strukturen, die Gate-Abstandshalter 34, eine oder mehrere Dielektrikumschichten 36 und Gate-Schnitt-Füllstrukturen 50 aufgrund des Planarisierungsprozesses gewisse Verluste erleiden können.
  • Die 14A, 14B und 14C veranschaulichen die Bildung von leitfähigen Strukturelementen 70, wie zum Beispiel einschließlich Kontakten, die durch die eine oder die mehreren Dielektrikumschichten 36 zu Source/Drain-Regionen 35 der Finnen 24 gebildet werden können. Zum Beispiel können Öffnungen durch die eine oder die mehreren Dielektrikumschichten 36 zu den Source/Drain-Regionen 35 gebildet werden, um mindestens jeweilige Abschnitte der Source/Drain-Regionen 35 freizulegen. Die Öffnungen können beispielsweise mittels zweckmäßiger Photolithografie- und Ätzprozesse gebildet werden. Eine Metallschicht kann konformal in den Öffnungen abgeschieden werden, wie zum Beispiel auf den Source/Drain-Regionen 35, und eine Sperrschicht kann zum Beispiel konformal auf der Metallschicht abgeschieden werden. Die Metallschicht kann zum Beispiel Titan, Kobalt, Nickel, dergleichen oder eine Kombination davon sein oder umfassen und kann durch ALD, CVD oder eine andere Abscheidungstechnik abgeschieden werden. Die Sperrschicht kann Titannitrid, Titanoxid, Tantalnitrid, Tantaloxid, desgleichen oder eine Kombination davon sein oder umfassen und kann durch ALD, CVD oder eine andere Abscheidungstechnik abgeschieden werden. Silicidregionen können auf oberen Abschnitten der Source/Drain-Regionen 35 durch Reagieren oberer Abschnitte der Source/Drain-Regionen 35 mit der Metallschicht und/oder der Sperrschicht gebildet werden. Es kann eine Ausheilung ausgeführt werden, um die Reaktion der Source/Drain-Regionen 35 mit der Metallschicht und/oder Sperrschicht zu unterstützen.
  • Dann können Kontakte gebildet werden, die die Öffnungen ausfüllen. Die Kontakte können Wolfram, Kupfer, Aluminium, Gold, Silber, Legierungen, dergleichen oder eine Kombination davon sein oder umfassen und können durch CVD, ALD, PVD oder eine andere Abscheidungstechnik abgeschieden werden. Nachdem das Material der Kontakte abgeschieden wurde, kann überschüssiges Material unter Verwendung eines Planarisierungsprozesses, wie zum Beispiel eines CMP, entfernt werden. Der Planarisierungsprozess kann überschüssiges Material der Kontakte, der Sperrschicht und der Metallschicht über der Oberseite der einen oder der mehreren Dielektrikumschichten 36 entfernen. Darum können die Oberseiten der Kontakte, der Sperrschicht, der Metallschicht und der einen oder mehreren Dielektrikumschichten 36 koplanar sein. Dementsprechend können leitfähige Strukturelemente 70, einschließlich der Kontakte, der Sperrschicht, der Metallschicht und/oder der Silicidregionen bis zu den Source/Drain-Regionen 35 gebildet werden.
  • 15A, 15B und 15C veranschaulichen die Bildung einer oder mehrerer Dielektrikumschichten 72 und leitfähiger Strukturelemente 74 und 76 in der einen oder den mehreren Dielektrikumschichten 72. Die eine oder die mehreren Dielektrikumschichten 72 können zum Beispiel eine Ätzstoppschicht (ESL) und ein Zwischenschichtdielektrikum (ILD) oder ein Zwischenmetall-Dielektrikum (IMD) enthalten. Die Ätzstoppschicht kann über der einen oder den mehreren Dielektrikumschichten 36, den leitfähigen Strukturelementen 70 in der einen oder den mehreren Dielektrikumschichten 36, den Gate-Schnitt-Füllstrukturen 50, dem Füllmaterial 66 usw. abgeschieden werden. Die Ätzstoppschicht kann Siliziumnitrid, Silizium-Kohlenstoffnitrid, Siliziumkohlenoxid, Kohlenstoffnitrid, dergleichen oder eine Kombination davon umfassen oder sein und kann durch CVD, PECVD, ALD oder eine andere Abscheidungstechnik abgeschieden werden. Das Zwischenschichtdielektrikum oder das Zwischenmetall-Dielektrikum kann Siliziumdioxid, ein dielektrisches Material mit niedrigem k-Wert, wie zum Beispiel Siliziumoxynitrid, PSG, BSG, BPSG, USG, FSG, OSG, SiOxCy, Spin-on-Glas, Spin-on-Polymere, Siliziumkohlenstoff-Material, eine Verbindung, ein Verbundmaterial, dergleichen oder eine Kombination davon umfassen oder sein. Das Zwischenschichtdielektrikum oder Zwischenmetall-Dielektrikum kann abgeschieden werden durch Spin-on, CVD, FCVD, PECVD, PVD oder eine andere Abscheidungstechnik.
  • Es können Aussparungen und/oder Öffnungen in und/oder durch die eine oder die mehreren Dielektrikumschichten 72, wo die leitfähigen Strukturelemente 74 und 76 gebildet werden sollen, gebildet werden. Die eine oder die mehreren Dielektrikumschichten 72 können mit den Aussparungen und/oder Öffnungen zum Beispiel unter Verwendung von Photolithografie und eines oder mehrerer Ätzprozesse strukturiert werden. Die leitfähigen Strukturelemente 74 und 76 können dann in den Aussparungen und/oder Öffnungen ausgebildet werden. Die leitfähigen Strukturelemente 74 und 76 können zum Beispiel eine Sperrschicht und leitfähiges Material, das auf der Sperrschicht ausgebildet ist, enthalten. Die Sperrschicht kann konformal in den Aussparungen und/oder Öffnungen und über der einen oder den mehreren Dielektrikumschichten 72 abgeschieden werden. Die Sperrschicht kann Titannitrid, Titanoxid, Tantalnitrid, Tantaloxid, desgleichen oder eine Kombination davon sein oder umfassen und kann durch ALD, CVD oder eine andere Abscheidungstechnik abgeschieden werden. Das leitfähige Material kann Wolfram, Kupfer, Aluminium, Gold, Silber, Legierungen, dergleichen oder eine Kombination davon sein oder umfassen und kann durch CVD, ALD, PVD oder eine andere Abscheidungstechnik abgeschieden werden. Nachdem das Material der leitfähigen Strukturelemente 74 und 76 abgeschieden wurde, kann überschüssiges Material unter Verwendung eines Planarisierungsprozesses, wie zum Beispiel eines CMP, entfernt werden. Der Planarisierungsprozess kann überschüssiges Material der leitfähigen Strukturelemente 74 und 76 von einer Oberseite der einen oder der mehreren Dielektrikumschichten 72 entfernen. Darum können die Oberseiten der leitfähigen Strukturelemente 74 und 76 und der einen oder der mehreren Dielektrikumschichten 72 koplanar sein. Die leitfähigen Strukturelemente 74 und 76 können Kontakte, Durchkontaktierungen und/oder Anschlusskontaktinseln (zum Beispiel leitfähige Strukturelemente 76), leitfähige Leitungen (zum Beispiel leitfähige Strukturelemente 74) usw. sein oder als solche bezeichnet werden. Wie veranschaulicht, werden die leitfähigen Strukturelemente 74 und 76 zu den leitfähigen Strukturelementen 70 ausgebildet, die in der einen oder den mehreren Dielektrikumschichten 36 oder Ersatz-Gate-Strukturen gebildet werden, um die Source/Drain-Regionen 35 bzw. die Ersatz-Gate-Strukturen elektrisch zu koppeln. Die Anordnung der leitfähigen Strukturelemente in den Figuren ist lediglich ein Beispiel. Der Durchschnittsfachmann erkennt ohne Weiteres, dass sich eine Anordnung von leitfähigen Strukturelementen zwischen verschiedenen Implementierungen unterscheiden kann.
  • Einige Ausführungsformen können Vorteile realisieren. Wie zuvor angedeutet, kann das Entfernen der Sektion der Ersatz-Gate-Struktur eine gewisse Fehlausrichtung der geschnittenen Öffnung 54 in der Maske 52 tolerieren. Dies kann bessere und zuverlässigere Steuerung der kritischen Abmessung in dem Prozessfenster für das Entfernen der Sektion der Ersatz-Gate-Struktur gestatten. Des Weiteren kann in Beispielen, die Spannungstechnik implementieren, das Schneiden der Finnen nach dem Entfernen der Dummy-Gate-Stapel und nach dem Bilden der Ersatz-Gate-Strukturen auf den Finnen es ermöglichen, dass die Finnen eine größere Spannung in den Finnen (zum Beispiel in Kanalregionen der Finnen) während der Verarbeitung beibehalten (anstatt zum Beispiel die Spannung während der Verarbeitung deutlich zu reduzieren). Das Ausführen der Verarbeitung in dieser Reihenfolge kann in einigen Beispielen das Anwenden der Spannungstechnik in Vorrichtungen unterstützen, speziell in Vorrichtungen mit einem Technologieknoten von 5 nm und kleiner. Durch das Beibehalten einer höheren Spannung können Vorrichtungen, die mit den Finnen gebildet werden, eine höhere Leistung besitzen.
  • Eine Ausführungsform ist eine Struktur. Die Struktur enthält ein Substrat, das eine erste Finne, eine zweite Finne und eine erste Isolierregion enthält. Die erste Finne und die zweite Finne erstrecken sich in Längsrichtung parallel. Die erste Isolierregion ist zwischen der ersten Finne und der zweiten Finne angeordnet. Eine erste Gate-Struktur enthält ein konformales Gate-Dielektrikum über der ersten Finne und eine Gate-Elektrode über dem konformalen Gate-Dielektrikum. Eine erste isolierende Füllstruktur grenzt an die erste Gate-Struktur und erstreckt sich vertikal vom Niveau einer Oberseite der ersten Gate-Struktur zu mindestens einer Oberfläche der ersten Isolierregion. Kein Abschnitt des konformalen Gate-Dielektrikums erstreckt sich vertikal zwischen der ersten isolierenden Füllstruktur und der Gate-Elektrode. Eine zweite isolierende Füllstruktur grenzt an die erste isolierende Füllstruktur und eine Endseitenwand der zweiten Finne. Die erste isolierende Füllstruktur ist seitlich zwischen der ersten Gate-Struktur und der zweiten isolierenden Füllstruktur angeordnet. Eine Dielektrikumschicht liegt über der ersten Gate-Struktur, der ersten isolierenden Füllstruktur und der zweiten isolierenden Füllstruktur.
  • Eine andere Ausführungsform ist ein Verfahren. Eine Dummy-Gate-Struktur wird so ausgebildet, dass sie sich über eine Finne auf einem Substrat erstreckt. Die Dummy-Gate-Struktur wird durch eine Ersatz-Gate-Struktur ersetzt. Nach dem Ersetzen der Dummy-Gate-Struktur durch die Ersatz-Gate-Struktur wird die Finne geschnitten.
  • Eine weitere Ausführungsform ist ein Verfahren. Eine erste Finne und eine zweite Finne werden auf einem Substrat ausgebildet. Eine erste Dummy-Gate-Struktur wird so ausgebildet, dass sie sich über die erste Finne und die zweite Finne erstreckt. Eine Dielektrikumschicht wird über der ersten Finne und der zweiten Finne ausgebildet. Nach dem Bilden der Dielektrikumschicht wird die erste Dummy-Gate-Struktur durch eine erste Ersatz-Gate-Struktur ersetzt. Die erste Ersatz-Gate-Struktur wird in einer Region seitlich zwischen der ersten Finne und der zweiten Finne geschnitten. Eine erste Sektion der ersten Ersatz-Gate-Struktur liegt über der ersten Finne, und eine zweite Sektion der ersten Ersatz-Gate-Struktur liegt über der zweiten Finne. Die erste Sektion der ersten Ersatz-Gate-Struktur über der ersten Finne wird entfernt. Die erste Finne wird geschnitten, wo die erste Sektion der ersten Ersatz-Gate-Struktur entfernt wurde.
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen oder Beispiele, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen oder Beispielen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Struktur, die Folgendes umfasst: ein Substrat, das eine erste Finne, eine zweite Finne und eine erste Isolierregion umfasst, wobei sich die erste Finne und die zweite Finne in Längsrichtung parallel erstrecken, wobei die erste Isolierregion zwischen der ersten Finne und der zweiten Finne angeordnet ist; eine erste Gate-Struktur, die ein konformales Gate-Dielektrikum über der ersten Finne und eine Gate-Elektrode über dem konformalen Gate-Dielektrikum umfasst; eine erste isolierende Füllstruktur, die an die erste Gate-Struktur angrenzt und sich vertikal vom Niveau einer Oberseite der ersten Gate-Struktur zu mindestens einer Oberfläche der ersten Isolierregion erstreckt, wobei sich kein Abschnitt des konformalen Gate-Dielektrikums vertikal zwischen der ersten isolierenden Füllstruktur und der Gate-Elektrode erstreckt; eine zweite isolierende Füllstruktur, die an die erste isolierende Füllstruktur und eine Endseitenwand der zweiten Finne angrenzt, wobei die erste isolierende Füllstruktur seitlich zwischen der ersten Gate-Struktur und der zweiten isolierenden Füllstruktur angeordnet ist; und eine Dielektrikumschicht über der ersten Gate-Struktur, der ersten isolierenden Füllstruktur und der zweiten isolierenden Füllstruktur.
  2. Struktur nach Anspruch 1, wobei sich die erste isolierende Füllstruktur in Längsrichtung parallel zu der ersten Finne und der zweiten Finne erstreckt, wobei die erste isolierende Füllstruktur seitlich zwischen der ersten Finne und der zweiten Finne angeordnet ist.
  3. Struktur nach Anspruch 1 oder 2, wobei sich die zweite isolierende Füllstruktur vertikal auf ein Niveau unter einer Oberseite der ersten Isolierregion nahe der Endseitenwand der zweiten Finne erstreckt.
  4. Struktur nach einem der vorangehenden Ansprüche, wobei: das Substrat des Weiteren eine dritte Finne umfasst, wobei die zweite Finne und die dritte Finne in Längsrichtung ausgerichtet sind; und die zweite isolierende Füllstruktur des Weiteren an eine Endseitenwand der dritten Finne grenzt, wobei die zweite isolierende Füllstruktur seitlich zwischen der zweiten Finne und der dritten Finne angeordnet.
  5. Struktur nach einem der vorangehenden Ansprüche, wobei mindestens ein Abschnitt der ersten Finne, der unter den ersten Gate-Struktur liegt, unter Spannung gesetzt ist.
  6. Struktur nach einem der vorangehenden Ansprüche, wobei die erste isolierende Füllstruktur ein einzelnes isolierendes Material ist und die zweite isolierende Füllstruktur ein einzelnes isolierendes Material ist.
  7. Struktur nach einem der vorangehenden Ansprüche, die des Weiteren Folgendes umfasst: einen ersten Abstandshalter und einen zweiten Abstandshalter jeweils an einer jeweiligen Seitenwand der ersten Gate-Struktur; und einen dritten Abstandshalter und einen vierten Abstandshalter, wobei der erste Abstandshalter und der dritte Abstandshalter in Längsrichtung aufeinander ausgerichtet sind, wobei der zweite Abstandshalter und der vierte Abstandshalter in Längsrichtung aufeinander ausgerichtet sind, wobei die erste isolierende Füllstruktur seitlich zwischen dem ersten Abstandshalter und dem dritten Abstandshalter und zwischen dem zweiten Abstandshalter und dem vierten Abstandshalter angeordnet ist, wobei die zweite isolierende Füllstruktur seitlich zwischen dem dritten Abstandshalter und dem vierten Abstandshalter angeordnet ist.
  8. Struktur nach einem der vorangehenden Ansprüche, die des Weiteren Folgendes umfasst: eine zweite Gate-Struktur und eine dritte Gate-Struktur; einen ersten Abstandshalter, einen zweiten Abstandshalter, einen dritten Abstandshalter und einen vierten Abstandshalter; und eine dritte isolierende Füllstruktur; und wobei: das Substrat des Weiteren eine dritte Finne und eine zweite Isolierregion umfasst; die zweite Finne und die dritte Finne in Längsrichtung aufeinander ausgerichtet sind; die erste Isolierregion des Weiteren seitlich zwischen der ersten Finne und der dritten Finne angeordnet ist; die zweite Finne und die dritte Finne seitlich zwischen der ersten Isolierregion und der zweiten Isolierregion angeordnet sind; die erste Gate-Struktur und die zweite Gate-Struktur sich in Längsrichtung parallel erstrecken; die zweite Gate-Struktur und die dritte Gate-Struktur in Längsrichtung aufeinander ausgerichtet sind; die zweite Gate-Struktur über der ersten Finne liegt und die dritte Gate-Struktur über der zweiten Finne liegt; der erste Abstandshalter entlang einer ersten Seitenwand der ersten Gate-Struktur verläuft und der zweite Abstandshalter entlang einer zweiten Seitenwand der ersten Gate-Struktur verläuft; die erste Abstandshalter und der dritte Abstandshalter in Längsrichtung aufeinander ausgerichtet sind und der zweite Abstandshalter und der vierte Abstandshalter in Längsrichtung aufeinander ausgerichtet sind; der dritte Abstandshalter über der zweiten Finne an der Endseitenwand der zweiten Finne liegt und der vierte Abstandshalter über der dritten Finne an einer Endseitenwand der dritten Finne liegt; die erste isolierende Füllstruktur und die dritte isolierende Füllstruktur sich in Längsrichtung parallel zu der ersten Finne erstrecken; die erste isolierende Füllstruktur seitlich zwischen (i) der ersten Finne und (ii) der zweiten Finne und der dritten Finne angeordnet ist; die zweite Finne und die dritte Finne seitlich zwischen der ersten isolierenden Füllstruktur und der dritten isolierenden Füllstruktur angeordnet sind; die erste isolierende Füllstruktur seitlich zwischen der zweiten Gate-Struktur und der dritten Gate-Struktur angeordnet ist und an diese angrenzt; die dritte Gate-Struktur seitlich zwischen der ersten isolierenden Füllstruktur und der dritten isolierenden Füllstruktur angeordnet ist, wobei die dritte Gate-Struktur ebenfalls an die dritte isolierende Füllstruktur angrenzt; die zweite isolierende Füllstruktur seitlich zwischen (i) dem dritten Abstandshalter und der Endseitenwand der zweiten Finne und (ii) dem vierten Abstandshalter und der Endseitenwand der dritten Finne angeordnet ist und daran angrenzt; die zweite isolierende Füllstruktur des Weiteren seitlich zwischen der ersten isolierenden Füllstruktur und der dritten isolierenden Füllstruktur angeordnet ist, wobei die zweite isolierende Füllstruktur ebenfalls an die dritte isolierende Füllstruktur angrenzt; die erste isolierende Füllstruktur seitlich zwischen dem ersten Abstandshalter und dem dritten Abstandshalter angeordnet ist und daran angrenzt und seitlich zwischen dem zweiten Abstandshalter und dem vierten Abstandshalter angeordnet ist und daran angrenzt; und die zweite isolierende Füllstruktur sich auf eine Tiefe unter dem Niveau einer Oberseite der ersten Isolierregion oder der zweiten Isolierregion in einer Region seitlich zwischen der Endseitenwand der zweiten Finne und der Endseitenwand der dritten Finne erstreckt.
  9. Verfahren, das Folgendes umfasst: Bilden einer Dummy-Gate-Struktur, die sich über eine Finne auf einem Substrat erstreckt; Ersetzen der Dummy-Gate-Struktur durch eine Ersatz-Gate-Struktur; und nach dem Ersetzen der Dummy-Gate-Struktur durch die Ersatz-Gate-Struktur, Schneiden der Finne.
  10. Verfahren nach Anspruch 9, das des Weiteren umfasst, die Ersatz-Gate-Struktur zu schneiden, bevor die Finne geschnitten wird.
  11. Verfahren nach Anspruch 10, wobei das Schneiden der Ersatz-Gate-Struktur Folgendes umfasst: Entfernen eines Abschnitts der Ersatz-Gate-Struktur; und Bilden eines isolierenden Füllstoffmaterials zwischen verbliebenen Sektionen der Ersatz-Gate-Struktur, wo der Abschnitt der Ersatz-Gate-Struktur entfernt wurde.
  12. Verfahren nach Anspruch 10 oder 11, wobei: das Schneiden der Ersatz-Gate-Struktur das Entfernen eines Abschnitts der Ersatz-Gate-Struktur zwischen einer ersten Sektion der Ersatz-Gate-Struktur und einer zweiten Sektion der Ersatz-Gate-Struktur umfasst, wobei die erste Sektion der Ersatz-Gate-Struktur über der Finne liegt; und das Schneiden der Finne Folgendes umfasst: Entfernen der ersten Sektion der Ersatz-Gate-Struktur über der Finne; und Entfernen eines Abschnitts der Finne, der unter den ersten Sektion der Ersatz-Gate-Struktur lag.
  13. Verfahren nach einem der vorangehenden Ansprüche 9 bis 12, wobei das Schneiden der Finne Folgendes umfasst: Entfernen eines Abschnitts der Finne; und Bilden eines isolierenden Füllstoffmaterials zwischen verbliebenen Sektionen der Finne, wo der Abschnitt der Finne entfernt wurde.
  14. Verfahren nach Anspruch 13, wobei die Finne über einer Isolierregion auf dem Substrat vorsteht, und wobei das Entfernen des Abschnitts der Finne des Weiteren das Entfernen eines Abschnitts des Substrats unter dem Abschnitt der Finne enthält, der auf eine Tiefe unter der Isolierregion entfernt wurde.
  15. Verfahren nach einem der vorangehenden Ansprüche 9 bis 14, wobei die Finne ein unter Spannung gesetztes Material enthält.
  16. Verfahren, das Folgendes umfasst: Bilden einer ersten Finne und einer zweiten Finne auf einem Substrat; Bilden einer ersten Dummy-Gate-Struktur, die sich über die erste Finne und die zweite Finne erstreckt; Bilden einer Dielektrikumschicht über der ersten Finne und der zweiten Finne; nach dem Bilden der Dielektrikumschicht, Ersetzen der ersten Dummy-Gate-Struktur durch eine erste Ersatz-Gate-Struktur; Schneiden der ersten Ersatz-Gate-Struktur in einer Region seitlich zwischen der ersten Finne und der zweiten Finne, wobei eine erste Sektion der ersten Ersatz-Gate-Struktur über der ersten Finne liegt und eine zweite Sektion der ersten Ersatz-Gate-Struktur über der zweiten Finne liegt; Entfernen der ersten Sektion der ersten Ersatz-Gate-Struktur über der ersten Finne; und Schneiden der erste Finne, wo die erste Sektion der ersten Ersatz-Gate-Struktur entfernt wurde.
  17. Verfahren nach Anspruch 16, wobei das Schneiden der ersten Ersatz-Gate-Struktur Folgendes umfasst: Ätzen der ersten Ersatz-Gate-Struktur in der Region seitlich zwischen der ersten Finne und der zweiten Finne, wobei das Ätzen eine Aussparung bildet; und Füllen der Aussparung mit einem isolierenden Material, wobei das isolierende Material zwischen der ersten Sektion der ersten Ersatz-Gate-Struktur und der zweiten Sektion der ersten Ersatz-Gate-Struktur angeordnet wird, bevor die erste Sektion der ersten Ersatz-Gate-Struktur entfernt wird.
  18. Verfahren nach Anspruch 16 oder 17, wobei das Schneiden der erste Finne Folgendes umfasst: Ätzen der ersten Finne, wo die erste Sektion der ersten Ersatz-Gate-Struktur entfernt wurde, wobei das Ätzen eine Aussparung bildet, die sich auf eine Tiefe unter einer Isolierregion auf dem Substrat erstreckt, die zwischen der ersten Finne und der zweiten Finne angeordnet ist; und Füllen der Aussparung mit einem isolierenden Material, wobei das isolierende Material zwischen einer ersten Sektion der ersten Finne und einer zweiten Sektion der ersten Finne angeordnet wird.
  19. Verfahren nach einem der vorangehenden Ansprüche 16 bis 18, wobei jede der ersten Finne und der zweiten Finne ein unter Spannung gesetztes Material enthält.
  20. Verfahren nach einem der vorangehenden Ansprüche 16 bis 19, das des Weiteren Folgendes umfasst: Bilden einer zweiten Dummy-Gate-Struktur, die sich über die erste Finne und die zweite Finne erstreckt; Ersetzen der zweiten Dummy-Gate-Struktur durch eine zweite Ersatz-Gate-Struktur; und Schneiden der zweiten Ersatz-Gate-Struktur in der Region seitlich zwischen der ersten Finne und der zweiten Finne, wobei eine erste Sektion der zweiten Ersatz-Gate-Struktur über der ersten Finne liegt und eine zweite Sektion der zweiten Ersatz-Gate-Struktur über der zweiten Finne liegt, wobei das Schneiden der ersten Ersatz-Gate-Struktur und der zweiten Ersatz-Gate-Struktur Folgendes umfasst: gleichzeitiges Ätzen der ersten Ersatz-Gate-Struktur und der zweiten Ersatz-Gate-Struktur in der Region seitlich zwischen der ersten Finne und der zweiten Finne, wobei das Ätzen eine erste kontinuierliche Aussparung in der Region bildet; und Füllen der ersten kontinuierlichen Aussparung durch ein erstes isolierendes Material, wobei das erste isolierende Material zwischen der ersten Sektion der ersten Ersatz-Gate-Struktur und der zweiten Sektion der ersten Ersatz-Gate-Struktur, bevor die erste Sektion der ersten Ersatz-Gate-Struktur entfernt wird, und zwischen der ersten Sektion der zweiten Ersatz-Gate-Struktur und der zweiten Sektion der zweiten Ersatz-Gate-Struktur angeordnet wird; und wobei das Schneiden der erste Finne Folgendes umfasst: Ätzen der ersten Finne, wo die erste Sektion der ersten Ersatz-Gate-Struktur entfernt wurde, wobei das Ätzen eine zweite Aussparung bildet, die sich auf eine Tiefe unter einer Isolierregion auf dem Substrat erstreckt, die zwischen der ersten Finne und der zweiten Finne angeordnet ist; und Füllen der zweiten Aussparung mit einem zweiten isolierenden Material, wobei das zweite isolierende Material zwischen einer ersten Sektion der ersten Finne und einer zweiten Sektion der ersten Finne angeordnet wird; und wobei nach dem Schneiden der ersten Finne, die erste Sektion der zweiten Ersatz-Gate-Struktur über der ersten Finne liegt, die zweite Sektion der ersten Ersatz-Gate-Struktur über der zweiten Finne liegt, und die zweite Sektion der zweiten Ersatz-Gate-Struktur über der zweiten Finne liegt.
DE102017128585.7A 2017-10-30 2017-12-01 Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen Pending DE102017128585A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/797,626 2017-10-30
US15/797,626 US10325912B2 (en) 2017-10-30 2017-10-30 Semiconductor structure cutting process and structures formed thereby

Publications (1)

Publication Number Publication Date
DE102017128585A1 true DE102017128585A1 (de) 2019-05-02

Family

ID=66137762

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017128585.7A Pending DE102017128585A1 (de) 2017-10-30 2017-12-01 Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen

Country Status (5)

Country Link
US (4) US10325912B2 (de)
KR (1) KR102086675B1 (de)
CN (1) CN109727977B (de)
DE (1) DE102017128585A1 (de)
TW (1) TWI668872B (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811320B2 (en) 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US11114549B2 (en) * 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
KR102568057B1 (ko) * 2018-06-14 2023-08-17 삼성전자주식회사 반도체 장치
DE102018126937B4 (de) * 2018-07-31 2021-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Finnenschnitt-Isolationsbereiche und Verfahren zu ihrem Bilden
US11450570B2 (en) * 2019-03-28 2022-09-20 Globalfoundries U.S. Inc. Single diffusion cut for gate structures
US11201084B2 (en) * 2019-08-23 2021-12-14 Taiwan Semicondutor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11322464B2 (en) * 2019-10-01 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Film structure for bond pad
CN113394092B (zh) * 2020-03-13 2022-08-09 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
US11532479B2 (en) 2020-04-01 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate refill with void
US11476268B2 (en) 2020-05-29 2022-10-18 Micron Technology, Inc. Methods of forming electronic devices using materials removable at different temperatures
KR20220043945A (ko) 2020-09-28 2022-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) * 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8603893B1 (en) * 2012-05-17 2013-12-10 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates
US8658536B1 (en) * 2012-09-05 2014-02-25 Globalfoundries Inc. Selective fin cut process
CN103839822B (zh) * 2012-11-27 2017-08-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8617996B1 (en) * 2013-01-10 2013-12-31 Globalfoundries Inc. Fin removal method
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9275890B2 (en) * 2013-03-15 2016-03-01 Globalfoundries Inc. Methods of forming alignment marks and overlay marks on integrated circuit products employing FinFET devices and the resulting alignment/overlay mark
CN107818943B (zh) 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9559191B2 (en) * 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
CN105097521B (zh) * 2014-05-04 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9614088B2 (en) * 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI650833B (zh) * 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9779960B2 (en) 2015-06-01 2017-10-03 Globalfoundries Inc. Hybrid fin cutting processes for FinFET semiconductor devices
US9570454B2 (en) * 2015-06-25 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with emedded EFS3 and FinFET device
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9659785B2 (en) * 2015-09-01 2017-05-23 International Business Machines Corporation Fin cut for taper device
US9805987B2 (en) 2015-09-04 2017-10-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
US10177240B2 (en) * 2015-09-18 2019-01-08 International Business Machines Corporation FinFET device formed by a replacement metal-gate method including a gate cut-last step
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9773879B2 (en) * 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN106952874B (zh) * 2016-01-06 2019-11-01 中芯国际集成电路制造(上海)有限公司 多阈值电压鳍式晶体管的形成方法
US9761495B1 (en) 2016-02-23 2017-09-12 Globalfoundries Inc. Methods of performing concurrent fin and gate cut etch processes for FinFET semiconductor devices and the resulting devices
US9704752B1 (en) 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9653583B1 (en) 2016-08-02 2017-05-16 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of finFET devices
CN107887272B (zh) * 2016-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9741717B1 (en) 2016-10-10 2017-08-22 International Business Machines Corporation FinFETs with controllable and adjustable channel doping
US10074571B1 (en) * 2017-03-07 2018-09-11 Globalfoundries Inc. Device with decreased pitch contact to active regions
US9818836B1 (en) * 2017-04-13 2017-11-14 Globalfoundries Inc. Gate cut method for replacement metal gate integration
US10269787B2 (en) * 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US20190067115A1 (en) * 2017-08-23 2019-02-28 Globalfoundries Inc. Gate cut method for replacement metal gate
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby

Also Published As

Publication number Publication date
US20240113112A1 (en) 2024-04-04
KR20190049339A (ko) 2019-05-09
US10325912B2 (en) 2019-06-18
US20190131298A1 (en) 2019-05-02
CN109727977A (zh) 2019-05-07
TW201917897A (zh) 2019-05-01
US20190131297A1 (en) 2019-05-02
US11894370B2 (en) 2024-02-06
TWI668872B (zh) 2019-08-11
CN109727977B (zh) 2020-12-22
KR102086675B1 (ko) 2020-03-09
US11502076B2 (en) 2022-11-15
US20220384269A1 (en) 2022-12-01

Similar Documents

Publication Publication Date Title
DE102017128585A1 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102018100286B4 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102018124673B4 (de) Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102017128255B4 (de) Halbleitervorrichtung und Verfahren
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE102018100061B3 (de) Ausbildungsverfahren mit hoher Oberflächen-Dotierstoffkonzentration und deren Strukturen
DE102018106631A1 (de) Schneideverfahren für Halbleiterstruktur und dadurch gebildete Strukturen
DE102019102135B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102019118385A1 (de) Halbleitervorrichtung und Verfahren
DE102020109494B3 (de) Geschnittene metallgate-befüllung mit lücke
DE102018124741A1 (de) Linerstruktur in dieelektrischer zwischenschichtstruktur für halbleiterbauteile
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102018108176A1 (de) Asymmetrische Source- und Drain-Strukturen in Halbleitervorrichtungen
DE102020108047B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren zum bilden desselben
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102018106191A1 (de) FinFET-Vorrichtung und Verfahren zum Ausbilden von dieser
DE102020113099A1 (de) Ferroelektrische direktzugriffsspeichervorrichtung mit einem dreidimensionalen ferroelektrischen kondensator
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102021115949B4 (de) Leitfähige abdeckung für austrittsarbeitsschicht und verfahren zu deren bildung
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021114139A1 (de) Mehrschichtige photoätzmaske mit organischen und anorganischen materialien

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication