DE102020122407A1 - Untere seitliche ausdehnung von kontaktsteckern durch implantierung - Google Patents

Untere seitliche ausdehnung von kontaktsteckern durch implantierung Download PDF

Info

Publication number
DE102020122407A1
DE102020122407A1 DE102020122407.9A DE102020122407A DE102020122407A1 DE 102020122407 A1 DE102020122407 A1 DE 102020122407A1 DE 102020122407 A DE102020122407 A DE 102020122407A DE 102020122407 A1 DE102020122407 A1 DE 102020122407A1
Authority
DE
Germany
Prior art keywords
etch stop
contact plug
stop layer
dopant
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020122407.9A
Other languages
English (en)
Inventor
Meng-Han Chou
Su-Hao LIU
Kuo-Ju Chen
Liang-Yin Chen
Huicheng Chang
Yee-Chia Yeo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020122407A1 publication Critical patent/DE102020122407A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Verfahren umfasst das Bilden eines metallischen Merkmals, das Bilden einer Ätzstoppschicht über dem metallischen Merkmal, das Implantieren des metallischen Merkmals mit einem Dotierstoff, das Bilden einer Dielektrikumschicht über der Ätzstoppschicht, das Ausführen eines ersten Ätzprozesses zum Ätzen der Dielektrikumschicht und der Ätzstoppschicht zum Bilden einer ersten Öffnung, das Ausführen eines zweiten Ätzprozesses, um das metallische Merkmal zu ätzen und eine zweite Öffnung in dem metallischen Merkmal zu bilden, wobei die zweite Öffnung mit der ersten Öffnung verbunden ist, und das Füllen der ersten Öffnung und der zweiten Öffnung mit einem metallischen Material, um einen Kontaktstecker zu bilden.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Bei der Herstellung von integrierten Schaltungen werden Kontaktstecker zum elektrischen Koppeln mit den Source- und Drain-Regionen und den Gates von Transistoren verwendet. Die Source/Drain-Kontaktstecker wurden typischerweise mit Source/Drain-Silizidregionen verbunden, deren Bildungsprozesse das Bilden von Kontaktöffnungen, um Source/Drain-Regionen freizulegen, das Abscheiden einer Metallschicht, das Abscheiden einer Sperrschicht über der Metallschicht, das Ausführen eines Temperprozesses, um die Metallschicht mit den Source/Drain-Regionen reagieren zu lassen, das Einfüllen eines Metalls, wie beispielsweise Wolfram oder Kobalt, in die verbleibende Kontaktöffnung und das Ausführen eines chemischmechanischen Polierprozesses (CMP-Prozesses) zum Entfernen von überschüssigem Metall umfassen. Es kann mehr als eine Ebene von Kontaktsteckern geben, die gebildet werden.
  • Konventionell wurden beim Bilden von übergeordneten Kontaktsteckern die unteren Abschnitte von übergeordneten Kontaktsteckern ausgedehnt, um Probleme, wie beispielsweise die Korrosion der untergeordneten Kontaktstecker, zu lösen. Die unteren Abschnitte erstrecken sich in die untergeordneten Kontaktstecker hinein. Die seitliche Ausdehnung war jedoch schwierig zu erreichen, da die seitliche Ausdehnung bewirkt, dass die Öffnungen, in denen die übergeordneten Kontaktstecker gebildet werden, tiefer sind.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • Die 1 bis 7, 8A, 8B, 9A, 9B, 10A, 10B, 11, 12A, 12B und 13 bis 17 sind perspektivische Ansichten und Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und der entsprechenden Kontaktstecker gemäß einigen Ausführungsformen.
    • Die 18 und 19 sind Profile von implantierten Spezies in einem Kontaktstecker und einem Zwischenebenendielektrikum gemäß einigen Ausführungsformen.
    • 20 veranschaulicht eine Draufsicht eines Kontaktsteckers gemäß einigen Ausführungsformen.
    • 21 veranschaulicht die Darstellung von seitlichen Aussparungsabständen gegenüber Aussparungstiefen gemäß einigen Ausführungsformen.
    • 22 veranschaulicht einen Prozessablauf zum Herstellen eines Finnenfeldeffekttransistors (FinFET) und entsprechender Kontaktstecker gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränken. Das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, bei denen die ersten und die zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und den zweiten Merkmalen gebildet sein können, sodass die ersten und die zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder - zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe, wie „darunterliegend“, „darunter“, „unter“, „untere“, „darüberliegend“, „über“, „obere“ und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb der Vorrichtung umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.
  • Es wird ein Kontaktstecker und das Verfahren zu seiner Herstellung gemäß einigen Ausführungsformen bereitgestellt. Ein oberer Kontaktstecker wird über einem unteren Kontaktstecker gebildet. Ein Implantierungsprozess wird ausgeführt, um einen Dotierstoff in einen oberen Abschnitt des unteren Kontaktsteckers zu dotieren. Eine Dielektrikumschicht wird über dem unteren Kontaktstecker und eine Kontaktöffnung in der Dielektrikumschicht gebildet. Der untere Kontaktstecker wird dann sowohl vertikal als auch seitlich ausgespart. Mit dem Dotierstoff im oberen Abschnitt des unteren Kontaktsteckers wird die seitliche Aussparungsrate relativ zur vertikalen Aussparungsrate erhöht. Dementsprechend wird der untere Abschnitt des oberen Kontaktsteckers seitlich viel mehr vergrößert als vertikal. Hierin beschriebene Ausführungsformen sollen Beispiele bereitstellen, um die Herstellung oder Verwendung des Gegenstands dieser Offenbarung zu ermöglichen, und ein Durchschnittsfachmann wird leicht vornehmbare Modifikationen erkennen, die aber innerhalb des beabsichtigten Umfangs verschiedener Ausführungsformen verbleiben. Überall in den verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugsnummern verwendet, um gleiche Elemente zu bezeichnen. Obwohl Verfahrensausführungsformen als in einer bestimmten Reihenfolge ausgeführt beschrieben sein können, können andere Verfahrensausführungsformen in jeder logischen Reihenfolge ausgeführt werden.
  • Die 1 bis 7, 8A, 8B, 9A, 9B, 10A, 10B, 11, 12A, 12B und 13 bis 17 veranschaulichen die Schnittansichten von Zwischenstufen bei der Bildung eines Finnenfeldeffekttransistors (FinFET) und der entsprechenden Kontaktstecker gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die entsprechenden Prozesse spiegeln sich auch schematisch in dem in 22 gezeigten Prozessablauf wider.
  • 1 veranschaulicht eine perspektivische Ansicht einer auf dem Wafer 10 gebildeten Ausgangsstruktur. Der Wafer 10 weist das Substrat 20 auf. Das Substrat 20 kann ein Halbleitersubstrat sein, das ein Siliziumsubstrat, ein Siliziumgermaniumsubstrat oder ein aus anderen Halbleitermaterialien gebildetes Substrat sein kann. Das Substrat 20 kann mit einem p- oder einem n-Dotierstoff dotiert sein. Die Isolationsregionen 22, wie z. B. die Flache-Graben-Isolationsregionen (STI-Regionen), können derart gebildet sein, dass sie sich von einer oberen Fläche von Substrat 20 in das Substrat 20 erstrecken. Der entsprechende Prozess ist als Prozess 202 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Die Abschnitte des Substrats 20 zwischen benachbarten STI-Regionen 22 werden als Halbleiterstreifen 24 bezeichnet. Die oberen Flächen der Halbleiterstreifen 24 und die oberen Flächen der STI-Regionen 22 können sich im Wesentlichen auf gleicher Höhe zueinander befinden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 24 Teile des ursprünglichen Substrats 20 und daher ist das Material der Halbleiterstreifen 24 das gleiche wie das des Substrats 20. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 24 Ersatzstreifen, die durch Ätzen der Abschnitte des Substrats 20 zwischen den STI-Regionen 22 zum Bilden von Aussparungen und Ausführen eines Epitaxieprozesses zum Nachwachsen eines anderen Halbleitermaterials in den Aussparungen gebildet werden. Dementsprechend werden die Halbleiterstreifen 24 aus einem Halbleitermaterial gebildet, das sich von dem des Substrats 20 unterscheidet. Gemäß einigen Ausführungsformen werden die Halbleiterstreifen 24 aus Siliziumgermanium, Siliziumkohlenstoff oder einem III-V-Verbindungshalbleitermaterial gebildet.
  • Die STI-Regionen 22 können ein Auskleidungsoxid (nicht gezeigt) aufweisen, das ein thermisches Oxid sein kann, welches durch die thermische Oxidation einer Flächenschicht des Substrats 20 gebildet wird. Das Auskleidungsoxid kann auch eine abgeschiedene Siliziumoxidschicht sein, die unter Verwendung von beispielsweise Atomlagenabscheidung (ALD), chemischer Hochdichteplasma-Gasphasenabscheidung (HDPCVD) oder chemischer Gasphasenabscheidung (CVD) oder dergleichen gebildet wird. Die STI-Regionen 22 können auch ein Dielektrikum über dem Auskleidungsoxid aufweisen, wobei das Dielektrikum unter Verwendung von fließfähiger chemischer Gasphasenabscheidung (FCVD), Aufschleudern oder dergleichen gebildet werden kann.
  • Unter Bezugnahme auf 2 werden die STI-Regionen 22 ausgespart, sodass die oberen Abschnitte der Halbleiterstreifen 24 höher vorstehen als die oberen Flächen 22A der übrigen Abschnitte der STI-Regionen 22, um die vorstehenden Finnen 24' zu bilden. Der entsprechende Prozess ist als Prozess 204 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Das Ätzen kann mit einem Trockenätzverfahren ausgeführt werden, wobei als Ätzgase NF3 und NH3 verwendet werden. Während des Ätzprozesses kann Plasma erzeugt werden. Argon kann ebenfalls enthalten sein. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird das Aussparen der STI-Regionen 22 unter Verwendung eines Nassätzprozesses ausgeführt. Die Ätzchemikalie kann beispielsweise HF aufweisen.
  • Bei den vorstehend veranschaulichten Ausführungsformen können die Finnen durch jedes geeignete Verfahren strukturiert werden. Die Finnen können beispielsweise unter Verwendung eines oder mehrerer Fotolithographieprozesse einschließlich Doppelstrukturierungs- oder Mehrstrukturierungsprozessen strukturiert werden. Generell kombinieren Doppelstrukturierungs- oder Mehrstrukturierungsprozesse Fotolithografie- und Selbstausrichtungsprozesse, was ermöglicht, Strukturen herzustellen, die beispielsweise Abstände aufweisen, die kleiner sind als das, was anderweitig unter Verwendung eines einzelnen direkten Fotolithographieprozesses erreichbar ist. Bei einer Ausführungsform wird beispielsweise eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithographieprozesses strukturiert. Es werden entlang der strukturierten Opferschicht unter Verwendung eines Selbstausrichtungsprozesses Abstandselemente gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandselemente oder Dorne können dann verwendet werden, um die Finnen zu strukturieren.
  • Unter Bezugnahme auf 3 werden die Dummygatestapel 30 gebildet, sodass sie sich auf den oberen Flächen und den Seitenwänden der (vorstehenden) Finnen 24' erstrecken. Der entsprechende Prozess ist als Prozess 206 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Die Dummygatestapel 30 können Dummygatedielektrika 32 und Dummygateelektroden 34 über den entsprechenden Dummygatedielektrika 32 aufweisen. Die Dummygateelektroden 34 können beispielsweise unter Verwendung von Polysilizium gebildet werden und andere Materialien können ebenfalls verwendet werden. Jeder der Dummygatestapel 30 kann auch eine Hartmaskenschicht 36 (oder mehrere) über den Dummygateelektroden 34 aufweisen. Die Hartmaskenschichten 36 können aus Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder Mehrfachschichten davon gebildet werden. Die Dummygatestapel 30 können über eine einzelne oder mehrere vorstehende Finnen 24' und/oder STI-Regionen 22 wechseln. Die Dummygatestapel 30 weisen zudem Längsrichtungen senkrecht zu den Längsrichtungen der vorstehenden Finnen 24' auf.
  • Als Nächstes werden die Gateabstabstandselemente 38 an den Seitenwänden der Dummygatestapel 30 gebildet. Der entsprechende Prozess ist ebenfalls als Prozess 206 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Gateabstandselemente 38 aus einem oder mehreren Dielektrika wie Siliziumnitrid, Siliziumcarbonitrid oder dergleichen gebildet und können eine Einzelschichtstruktur oder eine Mehrschichtstruktur einschließlich mehrerer Dielektrikumschichten aufweisen.
  • Anschließend wird ein Ätzschritt ausgeführt, um die Abschnitte der vorstehenden Finnen 24' zu ätzen, die nicht durch den Dummygatestapel 30 und die Gateabstandselemente 38 abgedeckt sind, was in der in 4 gezeigten Struktur resultiert. Der entsprechende Prozess ist als Prozess 208 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Das Aussparen kann anisotrop sein und daher sind die Abschnitte der Finnen 24', die direkt unter den Dummygatestapeln 30 und den Gateabstandselementen 38 liegen, geschützt und werden nicht geätzt. Die oberen Flächen der ausgesparten Halbleiterstreifen 24 können gemäß einigen Ausführungsformen niedriger sein als die oberen Flächen 22A der STI-Regionen 22. Die Zwischenräume, die von den geätzten vorstehenden Finnen 24' und den Halbleiterstreifen 24 verbleiben, werden als Aussparungen 40 bezeichnet. Die Aussparungen 40 befinden sich auf den gegenüberliegenden Seiten der Dummygatestapel 30.
  • Wie in 5 gezeigt, werden als Nächstes die Epitaxieregionen (Source/Drain-Regionen) 42 durch selektives Aufwachsen (durch Epitaxie) eines Halbleitermaterials in den Aussparungen 40 gebildet. Der entsprechende Prozess ist als Prozess 210 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Abhängig davon, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann ein p- oder n-Dotierstoff mit dem Fortschreiten der Epitaxie in situ dotiert werden. Wenn der resultierende FinFET beispielsweise ein p-FinFET ist, kann Siliziumgermaniumbor (SiGeB), Siliziumbor (SiB) oder dergleichen gewachsen werden. Wenn der resultierende FinFET dagegen ein n-FinFET ist, kann Siliziumphosphor (SiP), Siliziumkohlenstoffphosphor (SiCP) oder dergleichen gewachsen werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung weisen die Epitaxieregionen 42 III-V-Verbindungshalbleiter, wie beispielsweise GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen davon, Mehrfachschichten davon oder dergleichen auf. Nachdem die Aussparungen 40 mit Epitaxieregionen 42 gefüllt sind, bewirkt das weitere epitaktische Wachsen der Epitaxieregionen 42, dass sich die Epitaxieregionen 42 horizontal ausdehnen, und es können Facetten gebildet werden. Das weitere Wachsen der Epitaxieregionen 42 kann auch bewirken, dass benachbarte Epitaxieregionen 42 miteinander verschmelzen. Es können Hohlräume (Luftspalte) 44 erzeugt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann die Bildung von Epitaxieregionen 42 beendet sein, wenn die obere Fläche der Epitaxieregionen 42 noch wellig ist oder wenn die obere Fläche der verschmolzenen Epitaxieregionen 42 planar geworden ist, was durch weiteres Wachsen auf den Epitaxieregionen 42 erreicht wird, wie es in 6 gezeigt ist.
  • Nach dem Epitaxieprozessschritt können die Epitaxieregionen 42 ferner mit einem p- oder n-Dotierstoff implantiert werden, um Source- und Drainregionen zu bilden, die ebenfalls mit der Bezugsnummer 42 bezeichnet sind. Gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung wird der Implantierungsschritt übersprungen, wenn die Epitaxieregionen 42 während der Epitaxie in situ mit dem p- oder n-Dotierstoff dotiert werden.
  • 7 veranschaulicht eine perspektivische Ansicht der Struktur nach dem Bilden der Kontaktätzstoppschicht (CESL) 46 und des Zwischenschichtdielektrikums (ILD) 48. Der entsprechende Prozess ist als Prozess 212 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Die CESL 46 kann aus Siliziumoxid, Siliziumnitrid, Siliziumcarbonitrid oder dergleichen und unter Verwendung von CVD, ALD oder dergleichen gebildet werden. Das ILD 48 kann ein Dielektrikum aufweisen, das unter Verwendung von beispielsweise FCVD, Aufschleudern, CVD oder einem anderen Abscheidungsverfahren gebildet ist. Das ILD 48 kann aus einem sauerstoffhaltigen Dielektrikum gebildet werden, das ein Dielektrikum auf Siliziumoxidbasis sein kann, wie beispielsweise Siliziumoxid (z. B. gebildet unter Verwendung von Tetraethylorthosilikat (TEOS) als Prozessgas), Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG) oder dergleichen. Ein Planarisierungsprozess, wie beispielsweise ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess kann ausgeführt werden, um die oberen Flächen des ILD 48, der Dummygatestapel 30 und der Gateabstandselemente 38 aneinander anzugleichen.
  • Dann werden die Dummygatestapel 30, welche die Hartmaskenschichten 36, die Dummygateelektroden 34 und das Dummygatedielektrikum 32 aufweisen, durch Ersatzgatestapel 56 ersetzt, welche die Metallgateelektroden 54 und das Gatedielektrikum 52 aufweisen, wie es in 8 gezeigt ist. Der entsprechende Prozess ist als Prozess 214 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Beim Bilden der Ersatzgatestapel 56 werden zuerst die Hartmaskenschichten 36, die Dummygateelektroden 34 und die Dummygatedielektrika 32 wie in 7 gezeigt in einem oder mehreren Ätzprozessen entfernt, was darin resultiert, dass die Gräben/Öffnungen zwischen den Gateabstandselementen 38 gebildet werden. Die oberen Flächen und die Seitenwände der vorstehenden Halbleiterfinnen 24' werden zu den resultierenden Gräben freigelegt.
  • Wie in den 8A und 8B gezeigt, die entsprechend eine perspektivische Ansicht und eine Schnittansicht veranschaulichen, werden als Nächstes Ersatzgatedielektrikumschichten 52 gebildet, die sich in die Gräben zwischen den Gateabstandselementen 38 erstrecken. 8B zeigt den Schnitt 8B-8B, wie er in 8A gezeigt ist. Die oberen Flächen 22A und die unteren Flächen 22B der STI-Regionen sind in 8B veranschaulicht, um die Positionen der veranschaulichten Merkmale relativ zu den Positionen der STI-Regionen zu zeigen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist jede der Gatedielektrikumschichten 52 als ihren unteren Teil eine Grenzflächenschicht (IL) auf, welche die freiliegenden Flächen der entsprechenden vorstehenden Finnen 24' kontaktiert. Die IL kann eine Oxidschicht wie eine Siliziumoxidschicht aufweisen, die durch die thermische Oxidation der vorstehenden Finnen 24', einen chemischen Oxidationsprozess oder einen Abscheidungsprozess gebildet ist. Die Gatedielektrikumschicht 52 kann auch eine High-k-Dielektrikumschicht aufweisen, die über der IL gebildet ist. Die High-k-Dielektrikumschicht kann ein High-k-Dielektrikum wie Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirkoniumoxid, Siliziumnitrid oder dergleichen aufweisen. Die Dielektrizitätskonstante (k-Wert) des High-k-Dielektrikums ist höher als 3,9 und kann höher als ungefähr 7,0 sein. Die High-k-Dielektrikumschicht ist als eine konforme Schicht gebildet und erstreckt sich auf die Seitenwände der vorstehenden Finnen 24' und die Seitenwände der Gateabstandselemente 38. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die High-k-Dielektrikumschicht unter Verwendung von ALD oder CVD gebildet.
  • Unter Bezugnahme auf die 8A und 8B werden die Gateelektroden 54 über dem Gatedielektrikum 52 gebildet. Die Gateelektroden 54 weisen gestapelte leitende Schichten auf. Die gestapelten leitenden Schichten sind nicht separat gezeigt, während die gestapelten leitenden Schichten voneinander unterscheidbar sein können. Das Abscheiden der gestapelten leitenden Schichten kann mit einem oder mehreren konformen Abscheidungsverfahren wie ALD oder CVD ausgeführt werden. Die gestapelten Schichten können eine Diffusionssperrschicht und eine (oder mehrere) Austrittsarbeitsschicht über der Diffusionssperrschicht aufweisen. Die Diffusionssperrschicht kann aus Titannitrid (TiN) gebildet werden, das mit Silizium dotiert sein kann (oder auch nicht). Die Austrittsarbeitsschicht bestimmt die Austrittsarbeit des Gates und weist mindestens eine Schicht oder mehrere aus unterschiedlichen Materialien gebildete Schichten auf. Das Material der Austrittsarbeitsschicht wird danach ausgewählt, ob der entsprechende FinFET ein n- oder ein p-FinFET ist. Wenn der FinFET beispielsweise ein n-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht und eine Titanaluminium-Schicht (TiAl-Schicht) über der TaN-Schicht aufweisen. Wenn der FinFET ein p-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht und eine TiN-Schicht über der TaN-Schicht aufweisen. Nach dem Abscheiden der Austrittsarbeitsschicht(en) wird eine Sperrschicht (Klebstoffschicht), die eine weitere TiN-Schicht sein kann, gebildet. Die Sperrschicht kann die Gräben, die von den entfernten Dummygatestapeln hinterlassen wurden, vollständig füllen oder auch nicht.
  • Die abgeschiedenen Gatedielektrikumschichten und leitenden Schichten werden als konforme Schichten gebildet, die sich in die Gräben erstrecken und einige Abschnitte über dem ILD 48 aufweisen. Wenn die Sperrschicht die Gräben nicht vollständig füllt, wird als nächstes ein metallisches Material abgeschieden, um die verbleibenden Gräben zu füllen. Das metallische Material kann beispielsweise aus Wolfram oder Kobalt gebildet werden. Anschließend wird ein Planarisierungsprozess wie ein CMP-Prozess oder ein mechanischer Schleifprozess ausgeführt, sodass die Abschnitte der Gatedielektrikumschichten, der gestapelten leitenden Schichten und des metallischen Materials über dem ILD 48 entfernt werden. Als Ergebnis werden Gateelektroden 54 und Gatedielektrika 52 gebildet. Die Gateelektroden 54 und Gatedielektrika 52 werden zusammen als Ersatzgatestapel 56 bezeichnet. Die oberen Flächen der Ersatzgatestapel 56, der Gateabstandselemente 38, der CESL 46 und des ILD 48 können zu diesem Zeitpunkt im Wesentlichen koplanar sein.
  • Die 8A und 8B veranschaulichen auch das Bilden von (selbstausrichtenden) Hartmasken 58 gemäß einigen Ausführungsformen. Der entsprechende Prozess ist als Prozess 216 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Das Bilden von Hartmasken 58 kann das Ausführen eines Ätzprozesses zum Aussparen der Gatestapel 56 umfassen, sodass Aussparungen zwischen den Gateabstandselementen 38 gebildet werden, das Füllen der Aussparungen mit einem dielektrischen Material und dann das Ausführen eines Planarisierungsprozesses wie einem CMP-Prozess oder eines mechanischen Schleifprozesses zum Entfernen überschüssiger Abschnitte des Dielektrikums. Die Hartmasken 58 können aus Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder dergleichen gebildet werden.
  • Die 9A und 9B veranschaulichen entsprechend eine perspektivische Ansicht und eine Schnittansicht beim Bilden von Source/Drain-Kontaktöffnungen 60. Der entsprechende Prozess ist als Prozess 218 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Das Bilden von Kontaktöffnungen 60 umfasst das Ätzen des ILD 48, um die darunter liegenden Abschnitte der CESL 46 freizulegen, und das anschließende Ätzen der freigelegten Abschnitte der CESL 46, um die Epitaxieregionen 42 freizulegen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden wie in 9A veranschaulicht die Gateabstandselemente 38 durch einige verbleibende Abschnitte des ILD 48 von den nächstgelegenen Kontaktöffnungen 60 beabstandet. Gemäß anderen Ausführungsformen werden die Seitenwände der Gateabstandselemente oder der CESL 46 gegenüber den Kontaktöffnungen 60 freigelegt.
  • Unter Bezugnahme auf die 10A und 10B werden die Silizidregionen 66 und die Source/Drain-Kontaktstecker 70 gebildet. Gemäß einigen Ausführungsformen wird die Metallschicht 62 (wie z. B. eine Titan- oder Kobaltschicht, 10B) beispielsweise unter Verwendung von physikalischer Gasphasenabscheidung (PVD) oder einem ähnlichen Verfahren abgeschieden. Die Metallschicht 62 ist eine konforme Schicht und erstreckt sich auf die obere Fläche der Source/Drain-Regionen 42 und die Seitenwände des ILD 48 und der CESL 46. Eine Metallnitridschicht (wie z. B. eine Titannitridschicht) 64 wird dann als Verkappungsschicht abgeschieden. Dann wird ein Temperprozess ausgeführt, um die Silizidregionen 66 zu bilden, wie es in den 10A und 10B gezeigt ist. Der entsprechende Prozess ist als Prozess 220 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Als Nächstes wird ein metallisches Material 68, wie beispielsweise Kobalt, Wolfram oder dergleichen, in die verbleibenden Abschnitte der Kontaktöffnungen gefüllt. Dann wird ein Planarisierungsprozess wie ein CMP-Prozess oder ein mechanischer Schleifprozess ausgeführt, um überschüssige Abschnitte der Metallschicht 62 und des metallischen Materials zu entfernen, wobei die Kontaktstecker 70 zurückbleiben. Der entsprechende Prozess ist ebenfalls als Prozess 220 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht.
  • Unter Bezugnahme auf 11 wird die Ätzstoppschicht 72 abgeschieden. Der entsprechende Prozess ist als Prozess 222 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Die Ätzstoppschicht 72 kann aus einem siliziumhaltigen Material wie SiN, SiCN, SiC, SiOCN oder dergleichen gebildet werden. Das Bildungsverfahren kann PECVD, ALD, CVD oder dergleichen umfassen.
  • Nachfolgend wird unter Bezugnahme auf 12A ein Implantierungsprozess 74 ausgeführt. Der entsprechende Prozess ist als Prozess 224 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Bei dem Implantierungsprozess wird ein Dotierstoff implantiert, der die Ätzcharakteristik der Metallregion 68 modifizieren kann, wie es nachfolgend ausführlich beschrieben wird. Gemäß einigen Ausführungsformen weist der Dotierstoff Ge, Xe, Ar, Si oder Kombinationen davon auf. Die Energie der Implantierung wird derart gewählt, dass sie in einem Bereich liegt, der nicht zu hoch und nicht zu niedrig ist. Wenn die Implantierungsenergie zu hoch ist, kann der Dotierstoff durch die Ätzstoppschicht 72, das ILD 48 und die CESL 46 dringen und die Source/Drain-Regionen 42 erreichen. Dies kann die Eigenschaft des resultierenden FinFETs in unkontrollierbarer Weise nachteilig verändern. Außerdem kann sich der Dotierstoff zu tief in die Metallregion 68 erstrecken. Dies bewirkt, dass die resultierende Aussparung 82 (15) zu tief ist, was den Zweck der Implantierung zunichte macht. Wenn die Implantierungsenergie zu niedrig ist, kann sich der Dotierstoff nicht ausreichend in die Metallregion 68 erstrecken, was wiederum die seitliche Ausdehnung der Aussparung 82 (16) erschwert, da es schwierig ist, die Aussparung 82 seitlich auszudehnen, wenn sie zu flach ist. Bei einigen Ausführungsformen kann die Implantierung mit einer Energie im Bereich zwischen ungefähr 2 keV und ungefähr 50 keV ausgeführt werden. Die Dosierung des Dotierstoffs wird zudem derart gewählt, dass sie nicht zu hoch ist, um die Charakteristik der Ätzstoppschicht, des implantierten ILD 48, der CESL 46 zu stark zu verändern, und nicht zu niedrig ist, sodass die Ätzcharakteristik der implantierten Abschnitte der Metallregion 68 nicht ausreichend verändert wird. Gemäß einigen Ausführungsformen liegt die Dosierung im Bereich zwischen ungefähr 1E14 /cm2 und 1E16 /cm2. Die Implantierung kann vertikal oder geneigt mit einem Neigungswinkel von kleiner als ungefähr 60 Grad erfolgen. Während der Implantierung kann der Wafer 10 gekühlt oder erwärmt werden oder Raumtemperatur aufweisen. Die Temperatur kann beispielsweise während der Implantierung im Bereich zwischen ungefähr -100 °C und ungefähr 500 °C liegen.
  • In den 12A und 12B ist die Ätzstoppschicht 72 gestrichelt gezeichnet, um anzugeben, dass die Ätzstoppschicht 72 vor oder nach dem Implantierungsprozess 74 gebildet werden kann. Dementsprechend kann beim Ausführen des Implantierungsprozesses 74 die Ätzstoppschicht 72 gebildet werden oder auch nicht.
  • Unter Bezugnahme auf die 12A werden als Ergebnis der Implantierung die dotierten Regionen 76A in der Metallregion 68 und die dotierten Regionen 76B in Dielektrikumschichten wie dem ILD 48, Gateabstandselemente 38 und Hartmasken 58 gebildet. In der gesamten Beschreibung werden die dotierten Regionen 76A und 76B gemeinsam als dotierte Regionen 76 bezeichnet. Die oberen Flächen der dotierten Regionen 76B sind als niedriger als die oberen Flächen der dotierten Regionen 76A gezeichnet, um anzugeben, dass sich der Dotierstoff eher an den oberen Flächen der Metallregionen 68 anhäuft. Es versteht sich, dass die nachfolgenden Prozesse Temperprozesse umfassen können, was bewirkt, dass die dotierten Regionen 76A und 76B nach außen diffundieren. Dementsprechend können sich die Positionen und Tiefen der dotierten Regionen 76A und 76B vor/nach dem Tempern aufgrund der Diffusion der implantierten Spezies verändern. Die dotierten Regionen 76A und 76B können sich jedoch tatsächlich durch die gesamte Dicke der Ätzstoppschicht 72 erstrecken. Die veranschaulichten dotierten Regionen 76A und 76B können daher die Regionen mit relativ hohen Konzentrationen mit beispielsweise Konzentrationen darstellen, die um Differenzen kleiner als die entsprechenden Konzentrationsspitzenwerte sind, die kleiner als zwei Größenordnungen (oder vielleicht drei Größenordnungen) sind. Der Dotierstoff durchdringt die Ätzstoppschicht 72. Welche der Abschnitte der dotierten Region 76B in den Gateabstandselementen 38 und der Abschnitte in den Hartmasken 58 sich tiefer erstrecken, hängt von dem Vergleich der Dichtewerte der Gateabstandselemente 38 und der Hartmasken 58 ab und dotierte Regionen in dichteren Materialien erstrecken sich flacher. Dementsprechend weisen die Abschnitte der dotierten Region 76B in den Gateabstandselementen 38 ähnliche Tiefen auf wie die in den Hartmasken 58. Des Weiteren können die Unterseiten der Abschnitte der dotierten Region 76B in den Gateabstandselementen 38 höher, auf gleicher Höhe oder niedriger als die Unterseiten der Abschnitte der dotierten Region 76B in den Hartmasken 58 sein. In den 12A und 12B sind die möglichen oberen Flächen der dotierten Regionen 76A und 76B veranschaulicht. Die oberen Flächen 76BT und 76AT, die sich auf gleicher Höhe mit der oberen Fläche der Ätzstoppschicht 72 befinden, stellen die Ausführungsformen dar, in denen sich die dotierten Regionen 76A und 76B bis zur oberen Fläche der Ätzstoppschicht erstrecken. Wie in den 18 und 19 gezeigt, gibt es nahe der Grenze auch zwischen der Metallregion 68 und der darüberliegenden Ätzstoppschicht 72 eine plötzliche Änderung der Dotierungskonzentration, während es zwischen dem ILD 48 und der darüberliegenden Ätzstoppschicht 72 eine weniger plötzliche Änderung in der Dotierungskonzentration gibt. Unter Bezugnahme auf die 12A und 12B gibt es auch eine plötzliche Änderung in der Konzentration an der Grenze zwischen den dotierten Regionen 76A und deren benachbarten dotierten Regionen 76B.
  • Da die Metallregion 68 dichter ist und die Dielektrikumschichten verhältnismäßig spärlich sind, ist die Tiefe D1 der dotierten Regionen 76A kleiner als die Tiefe D2 der dotierten Regionen 76B in den Dielektrikumschichten. Bei einigen Ausführungsformen ist die Tiefe D1 kleiner als die Gesamtdicke T1 des Kontaktsteckers 70. Die Tiefe D2 ist auch kleiner als die Gesamtdicke T2 der CESL 46 und des ILD 48. Das Verhältnis D1/T1 kann beispielsweise im Bereich zwischen ungefähr 0,05 und ungefähr 0,2 liegen. Das Verhältnis D2/T2 kann im Bereich zwischen ungefähr 0,1 und ungefähr 1 liegen. Das Verhältnis D1/D2 kann auch im Bereich zwischen ungefähr 0,05 und ungefähr 0,5 liegen. Bei einigen Ausführungsformen liegt die Tiefe D1 im Bereich zwischen ungefähr 1 nm und ungefähr 10 nm und die Tiefe D2 im Bereich zwischen ungefähr 5 nm und ungefähr 20 nm.
  • Gemäß einigen Ausführungsformen wird die Implantierung an Wafer 10 wie in 12A gezeigt ohne Implantierungsmaske ausgeführt, sodass die Gesamtheit von Wafer 10 implantiert wird und alle Flächenmerkmale des Wafers 10 den Dotierstoff aufnehmen. Gemäß einer alternativen Ausführungsform wird die Implantierung wie in 12B gezeigt mit einer Implantierungsmaske 75 ausgeführt, um die nicht zu implantierenden Regionen zu maskieren. Unter der Annahme, dass das Material der Gateelektrode 54 nicht anfällig für den Verlust oder die Korrosion durch die beim anschließenden Planarisierungsprozess verwendete Schlämme ist, kann die Implantierungsmaske 75 beispielsweise die Gateelektrode 54 und die darüberliegenden Hartmasken 58 sowie die Gateabstandselemente 38 abdecken. Des Weiteren kann die Implantierungsmaske 75 Nicht-Transistorregionen abdecken. 20 veranschaulicht schematisch eine implantierte Region 76A und die umgebenden implantierten Regionen 76B relativ zu dem darunter liegenden Kontaktstecker 70, wenn eine Maske verwendet wird.
  • Da die Metallregion 68 dicht ist, wird der Dotierstoff um die obere Fläche der Metallregion 68 herum (sowohl höher als auch niedriger als diese) angehäuft. Außerdem kann der Dotierstoff einige Bereiche direkt über der Metallregion 68 aufweisen und sich mindestens in die unteren Abschnitte der Ätzstoppschicht 72 erstrecken. Dies bewirkt, dass sich die dotierten Regionen 76A mindestens in den unteren Abschnitt und möglicherweise in die Gesamtheit der Ätzstoppschicht 72 erstrecken. 18 veranschaulicht ein Verteilungsprofil des Dotierstoffs in der Metallregion 68 und der Ätzstoppschicht 72 gemäß einigen Ausführungsformen. Das Verteilungsprofil wird auf einem Probenwafer mittels Sekundärionenmassenspektrometrie (SIMS) gemessen. Die X-Achse zeigt die von der oberen Fläche der Ätzstoppschicht 72 und in der durch Pfeil 77A in 12A markierten Richtung gemessene Tiefe. Die Y-Achse zeigt die normierte Dotierstoffkonzentration. Es ist zu beobachten, dass sich der Dotierstoffspitzenwert der dotierten Region an der Grenzfläche zwischen der Ätzstoppschicht 72 und der Metallregion 68 befindet, was auf die Anhäufung des Dotierstoffs an der Grenzfläche hinweist. Außerdem besteht eine hohe Konzentration des Dotierstoffs in der Ätzstoppschicht 72, die durch die Rückstreuung von der Metallregion 68 verursacht sein kann. Dementsprechend ist, wie in 12 gezeigt, die dotierte Region 76A als sich in die Ätzstoppschicht 72 erstreckend veranschaulicht. Bei einigen Ausführungsformen kann die Dotierstoffkonzentration in der Metallregion 68 und der Ätzstoppschicht 72 im Bereich zwischen ungefähr 1E17 /cm3 und ungefähr 1E22 /cm3 liegen. Die Spitzenkonzentration des Dotierstoffs in der Metallregion 68 und der Ätzstoppschicht 72 kann im Bereich zwischen ungefähr 1E20 /cm3 und ungefähr 1E22 /cm3 liegen.
  • 19 veranschaulicht ein Verteilungsprofil des Dotierstoffs in dem ILD 48 und der Ätzstoppschicht 72 gemäß einigen Ausführungsformen. Das Verteilungsprofil ist auch von dem Probenwafer aus unter Verwendung von SIMS gemessen. Die X-Achse zeigt die von der oberen Fläche der Ätzstoppschicht 72 und in der durch Pfeil 77B in 12A markierten Richtung gemessene Tiefe. Die Y-Achse zeigt die normierte Dotierstoffkonzentration. Da Dielektrikumschichten relativ locker sind, befindet sich die Spitzenkonzentration der dotierten Regionen 76B innerhalb des ILD 48 anstatt an der Grenzfläche zwischen der Ätzstoppschicht 72 und dem ILD 48. Der Dotierstoff in dem ILD 48 erstreckt sich tiefer als in der dotierten Region 76A, weist aber eine weniger steile Änderung auf. Bei einigen Ausführungsformen kann die Dotierstoffkonzentration in der Ätzstoppschicht und in dem ILD 48 im Bereich zwischen ungefähr 1E17 /cm3 und ungefähr 1E22 /cm3 liegen. Die Spitzenkonzentration der Dotierstoffe in der Ätzstoppschicht 72 und dem ILD 48 kann im Bereich zwischen ungefähr 1E17 /cm3 und ungefähr 1E22 /cm3 liegen.
  • Bei einigen Ausführungsformen weisen die unteren Teile der Metallregionen 68 eine Dotierstoffkonzentration (des implantierten Dotierstoffs) auf, die mindestens drei Größenordnungen (1.000 Mal) niedriger ist als die Spitzenkonzentration des Dotierstoffs an der Grenzfläche zwischen der Metallregion 68 und der Ätzstoppschicht 72. Die unteren Teile der Metallregionen 68 können gemäß einigen Ausführungsformen frei von dem implantierten Dotierstoff sein. Bei einigen Ausführungsformen weisen die unteren Teile des Metall-ILD 48 und der darunter liegende Teil der CESL 46 eine Dotierstoffkonzentration (des implantierten Dotierstoffs) auf, die mindestens drei Größenordnungen (1.000 Mal) oder vier Größenordnungen niedriger ist als die Spitzenkonzentration des Dotierstoffs im ILD 48. Die unteren Teile des ILD 48 können gemäß einigen Ausführungsformen frei von dem implantierten Dotierstoff sein.
  • Unter Bezugnahme auf 13, wird das ILD 78 über der Ätzstoppschicht 72 gebildet. Der entsprechende Prozess ist als Prozess 226 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Das Material und das Bildungsverfahren des ILD 78 können aus den gleichen Kandidatenmaterialien und Bildungsverfahren zum Bilden des ILD 48 ausgewählt werden. Das ILD 78 kann beispielsweise Siliziumoxid, PSG, BSG, BPSG oder dergleichen aufweisen, was Silizium darin einschließt. Gemäß einigen Ausführungsformen wird das ILD 78 mittels PECVD, FCVD, Schleuderbeschichtung oder dergleichen gebildet.
  • 14 veranschaulicht das Ätzen des ILD 78 zum Bilden von Source/Drain-Kontaktöffnungen 80. Der entsprechende Prozess ist als Prozess 228 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Gemäß einigen Ausführungsformen wird das ILD 78 unter Verwendung eines Prozessgases einschließlich C2F6, CF4, SO2, die Mischung aus HBr, Cl, und O2 oder die Mischung aus HBr, Cl2, O2 und CF2 usw. geätzt. Die Ätzprozesse sind anisotrop.
  • Als Nächstes wird wie ebenfalls in 14 gezeigt die Ätzstoppschicht 72 in einem anisotropen Prozess geätzt. Der entsprechende Prozess ist ebenfalls als Prozess 228 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Die Source/Drain-Kontaktstecker 70 werden daher zu den Source/Drain-Öffnungen 80 freigelegt. Die Ätzstoppschicht 72 kann unter Verwendung eines fluorhaltigen Gases, wie beispielsweise der Mischung aus CF4, O2 und N2, die Mischung aus NF3 und O2, SF6 oder die Mischung aus SF6 und O2 geätzt werden. Die Ätzung kann anisotrop oder isotrop sein. Dementsprechend können die Abschnitte der Öffnungen 80 in der Ätzstoppschicht 72 breiter als die Abschnitte der Öffnungen 80 in dem ILD 78 sein oder auch nicht.
  • Unter Bezugnahme auf 15 wird ein isotroper Ätzprozess mit einem Ätzmittel ausgeführt, das die Metallregion 68 angreift. Der entsprechende Prozess ist als Prozess 230 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Dementsprechend wird die Aussparung (Öffnung) 82 in der Metallregion 68 gebildet. Die Aussparung 82 weist drei Abschnitte auf: eine größere nach oben weisende Höhlungsaussparung, eine kleinere nach unten weisende Höhlungsaussparung über der größeren nach oben weisenden Höhlungsaussparung und ein Durchgangsloch über der kleineren nach unten weisenden Höhlungsaussparung. Die größere nach oben weisende Höhlungsaussparung weist eine gekrümmte Fläche auf. Die kleinere nach unten weisende Höhlungsaussparung weist eine gekrümmte obere Fläche auf. Das Durchgangsloch weist im Wesentlichen gerade Kanten auf. Das Ätzen kann einen Trockenätzprozess oder einen Nassätzprozess umfassen. Außerdem wird die Ätzchemikalie derart gewählt, dass das ILD 78 und die Ätzstoppschicht 72 nicht geätzt werden (außer dass die dotierstoffhaltigen Abschnitte der Ätzstoppschicht 72 geätzt werden können). Die Ätzchemikalie wird auch als Reaktion auf den Dotierstoff ausgewählt, der zum Bilden der dotierten Regionen 76A verwendet wird, sodass die dotierten Regionen 76A in der Metallregion 68 eine höhere Ätzrate aufweisen als die undotierten Abschnitte der Metallregion 68. Wenn ein Trockenätzprozess verwendet wird, kann das Ätzgas beispielsweise O2, Ar, C4F6 oder dergleichen aufweisen. Wenn ein Nassätzprozess verwendet wird, kann die Ätzlösung deionisiertes (DI) Wasser, Benzotriazol (BTA), HF oder dergleichen aufweisen. Das Verhältnis der Ätzrate der dotierten Regionen 76A zur Ätzrate der undotierten (oder weniger dotierten) unteren Abschnitte der Metallregionen 68 ist größer als 1,0 und kann im Bereich zwischen ungefähr 1 und ungefähr 5 liegen.
  • Da die dotierten Regionen 76A eine höhere Ätzrate aufweisen als die darunter liegenden undotierten (oder weniger dotierten) Abschnitte der Metallregionen 68, ist das Ätzen in den Flächenabschnitten der Metallregionen 68, in denen die Dotierstoffkonzentration hoch ist, anfänglich schnell. Wenn sich die Aussparung in niedrigere Abschnitte der Metallregion 68 erstreckt, in denen die Dotierstoffkonzentration reduziert ist, beginnt sich die Abwärtsätzrate zu reduzieren. Andererseits reduziert sich die seitliche Ätzrate nicht, da mit dem Fortschreiten des seitlichen Ätzens die neu geätzten Abschnitte das gleiche Dotierstoffkonzentrat aufweisen wie die zuvor geätzten Abschnitte. Bei einigen Ausführungsformen kann der seitliche Ausdehnungsabstand L1 der Aussparung 82 im Bereich zwischen ungefähr 1 nm und ungefähr 15 nm liegen und die Tiefe D3 der Aussparung 82 im Bereich zwischen ungefähr 1 nm und ungefähr 20 nm liegen. Das Verhältnis L1/D3 ist größer als 0,5 und kann größer als ungefähr 1 sein. Das Verhältnis L1/D3 kann auch im Bereich zwischen ungefähr 0,5 und ungefähr 1,5 liegen.
  • Unter weiterer Bezugnahme auf 15, können die Abschnitte der Ätzstoppschicht 72 direkt über der Metallregion 68 im isotropen Ätzprozess geätzt werden, und die Öffnung 80 erstreckt sich seitlich in die Ätzstoppschicht 72, um die Öffnungsabschnitte 80' zu bilden, da der Dotierstoff von der Metallregion 68 in die Ätzstoppschicht 72 rückgestreut werden kann und sich mindestens im unteren Abschnitt der Ätzstoppschicht 72 anhäuft. Gemäß einigen Ausführungsformen befinden sich die Öffnungsabschnitte 80' im unteren Abschnitt der Ätzstoppschicht 72, während der obere Abschnitt der Ätzstoppschicht 72 in der isotropen Ätzung nicht seitlich ausgespart wird, wie es in 15 gezeigt ist. Gemäß alternativen Ausführungsformen erstrecken sich die Öffnungsabschnitte 80' auch in den oberen Abschnitt der Ätzstoppschicht 72. Gemäß einigen Ausführungsformen kann der seitliche Ausdehnungsabstand L2 der Öffnungsabschnitte 80' im Bereich zwischen ungefähr 0,5 nm und ungefähr 3 nm liegen. Da das Ätzmittel speziell zum Ätzen von Metallregionen 76A und nicht für die sich seitlich erstreckende Ätzstoppschicht 72 ausgewählt wurde, ist das Verhältnis L2/L1 kleiner als 1,0 und kann im Bereich zwischen ungefähr 0,05 und ungefähr 0,5 liegen.
  • Gemäß noch weiterer Ausführungsformen weist die Ätzstoppschicht 72 beispielsweise wenig oder keinen Dotierstoff auf und die Öffnungsabschnitte 80' werden nicht gebildet, wenn die Ätzstoppschicht 72 nach dem Implantierungsprozess 74 gebildet wird (12A und 12B).
  • 15 veranschaulicht auch das Bilden der Gatekontaktöffnung 84 gemäß einigen Ausführungsformen, die durch Ätzen des ILD 78, der Ätzstoppschicht 72 und der Hartmaske 58 gebildet wird. Der entsprechende Prozess ist als Prozess 232 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. In den nachfolgend beschriebenen beispielhaften Ausführungsformen, wie sie in den 16 und 17 gezeigt sind, werden der Gatekontaktstecker 87 und der Source/Drain-Kontaktstecker 86 gebildet, wobei ein gemeinsamer Metallfüllprozess geteilt wird. Es versteht sich, dass der Gatekontaktstecker 87 auch vor oder nach dem Bilden der Source/Drain-Kontaktstecker 86 gebildet werden kann. Der Prozess 232, wie er in dem in 21 gezeigten Prozessablauf dargestellt ist, ist daher als gestricheltes Kästchen gezeichnet, um anzugeben, dass er zu diesem Zeitpunkt ausgeführt werden kann oder nicht.
  • 16 veranschaulicht das Abscheiden von metallischem Material 85, das mittels PVD, CVD, Plattieren, Kombinationen davon oder dergleichen abgeschieden werden kann. Der entsprechende Prozess ist als Prozess 234 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Das metallische Material 85 kann Wolfram, Kobalt, Molybdän, Kupfer oder Legierungen davon aufweisen. Darüber hinaus kann sich das metallische Material 85 von dem Material der Metallregion 68 unterscheiden. Wenn beispielsweise die Metallregion 68 aus Kobalt gebildet wird oder Kobalt aufweist, kann das metallische Material 85 aus Wolfram gebildet werden oder Wolfram aufweisen. Die Gesamtheit des metallischen Materials 85 kann homogen sein (und es bildet sich keine Klebstoffschicht). Das metallische Material 85 kann gefüllt werden, sodass seine obere Fläche etwas höher oder niedriger als die obere Fläche des ILD 78 ist.
  • In einem anschließenden Prozess wird ein Planarisierungsprozess wie ein CMP-Prozess oder ein mechanischer Schleifprozess ausgeführt, um überschüssige Abschnitte des metallischen Materials 85 zu entfernen und daher Gatekontaktstecker 87 und Source/Drain-Kontaktstecker 86 zu bilden. Der entsprechende Prozess ist als Prozess 236 in dem in 22 gezeigten Verfahrensablauf 200 veranschaulicht. Die resultierende Struktur ist in 17 gezeigt. Bei einigen Ausführungsformen wird das CMP unter Verwendung einer sauren Schlämme ausgeführt. Gemäß anderen Ausführungsformen wird das CMP unter Verwendung einer alkalischen Schlämme ausgeführt. Bei einigen Ausführungsformen korrodiert die gewählte Schlämme den Gatekontaktstecker 87 und die Source/Drain-Kontaktstecker 86 nicht, kann aber die Kontaktstecker 70 korrodieren.
  • Ein Kontaktstecker 86 weist den oberen Abschnitt 86A im ILD 78 auf, wobei der Abschnitt 86A im Wesentlichen gerade Kanten aufweist. Der untere Abschnitt 86C befindet sich in der Metallregion 68 und weist den Abschnitt 86C1 auf, der direkt unter dem Kontaktsteckerabschnitt 86A liegt, und die Abschnitte 86C2, die sich auf gegenüberliegenden Seiten des Abschnitts 86A befinden und direkt unter dem ILD 78 liegen. Von der Oberseite des Kontaktsteckers 86 aus gesehen bilden die Abschnitte 86C2 einen vollen Ring, der den Abschnitt 86C1 umschließt (wie es in 20 gezeigt ist). Außerdem weist der Kontaktstecker 86 den Abschnitt 86B in der Ätzstoppschicht 72 auf und der Kontaktsteckerabschnitt 86B weist auch den Abschnitt 86B1 auf, der direkt unter dem Kontaktsteckerabschnitt 86A liegt, und die Abschnitte 86B2, die sich auf gegenüberliegenden Seiten des Abschnitts 86B2 befinden und sich direkt unter dem ILD 78 befinden. Von der Oberseite des Kontaktsteckers 86 aus gesehen bilden die Abschnitte 86B2 einen vollen Ring, der den Abschnitt 86C1 umschließt (wie es in 20 gezeigt ist). Die Größen der Kontaktsteckerabschnitte 86A, 86B und 86C sind die gleichen wie die der entsprechenden Öffnung 80 und der Aussparung 82 (15) und daher werden die Formen und Abmessungen hierin nicht wiederholt.
  • Gemäß noch weiterer Ausführungsformen weist die Ätzstoppschicht 72 beispielsweise wenig oder keinen Dotierstoff auf und die Abschnitte 86B2 werden nicht gebildet, wenn die Ätzstoppschicht 72 nach dem Implantierungsprozess 74 ( 12A und 12B) gebildet wird.
  • Der Gatekontaktstecker 87 und der Source/Drain-Kontaktstecker 86 sind klebstoffschichtfreie Kontaktstecker, bei denen keine Klebstoffschichten gebildet werden, um das metallische Material 85 (16) an das ILD 78 anzuhaften. Dementsprechend kann es aufgrund der geringeren Haftung der Kontaktstecker 87/86 an dem ILD 78 und der Ätzstoppschicht 72 Fugen (nicht gezeigt) geben, welche die Kontaktstecker 87/86 und das ILD 78 von der Ätzstoppschicht 72 trennen. Die beim Planarisieren des metallischen Materials 85 verwendete Schlämme kann durch die Fugen hindurchgehen. Wenn es keine ausgedehnten Abschnitte 86C gibt oder die ausgedehnten Abschnitte 86C nicht groß genug sind, kann die Schlämme 88 die Metallregion 68 erreichen. Gemäß einigen Ausführungsformen wird die Metallregion 68 aus einem anderen Material (wie beispielsweise Kobalt) als das Material der Kontaktstecker 87 und 86 (wie beispielsweise Wolfram) gebildet. Die Kontaktstecker 87 und 86 dürfen nicht unter der Korrosion der Schlämme, die sauer sein kann, leiden, während die Metallregion 68 gemäß einigen Ausführungsformen unter der Korrosion der Schlämme 88 leiden kann. Mit den vergrößerten Kontakterweiterungsabschnitten 86C wird die Schlämme daran gehindert, die Metallregion 68 zu erreichen, und die Korrosion wird mindestens reduziert und möglicherweise beseitigt.
  • 21 veranschaulicht den seitlichen Aussparungsabstand (zum Beispiel L1 in 15) als Funktion der Aussparungstiefe (zum Beispiel D3 in 15). Punkt 90 ist ein Versuchsergebnis, das durch das Bilden der Öffnungen 80 und 82 (15) ohne den Implantierungsprozess zum Dotieren des Dotierstoffs erhalten wurde. Punkt 92 ist ein Versuchsergebnis, das durch Bilden der Öffnungen 80 und 82 mit dem Implantierungsprozess durch Implantieren von Germanium erhalten wird. Die Ergebnisse deuten darauf hin, dass bei einer Aussparungstiefe von 10 nm der seitliche Aussparungsabstand um ungefähr 50 Prozent erhöht wird, wenn die Ausführungsformen der vorliegenden Offenbarung angewandt werden. Die Linien 94 und 96 sind die erwartete Darstellung der seitlichen Aussparungsabstände in Abhängigkeit von der Aussparungstiefe.
  • Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch Implantieren eines oberen Abschnitts eines untergeordneten Kontaktsteckers wird die Ätzselektivität zwischen dem oberen Abschnitt und einem entsprechenden unteren Abschnitt des untergeordneten Kontaktsteckers erhöht. Beim Aussparen des untergeordneten Kontaktsteckers zum Bilden eines übergeordneten Kontaktsteckers wird die seitliche Aussparung vergrößert, ohne die vertikale Aussparung zu vergrößern. Der untere Abschnitt des resultierenden übergeordneten Kontaktsteckers wird dadurch seitlich ausgedehnt und weist eine verbesserte Fähigkeit zum Blockieren von Schlämme auf, welche die Korrosion des untergeordneten Kontaktsteckers verursachen kann.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden eines metallischen Merkmals; das Bilden einer Ätzstoppschicht über dem metallischen Merkmal; das Implantieren des metallischen Merkmals mit einem Dotierstoff; das Bilden einer Dielektrikumschicht über der Ätzstoppschicht; das Ausführen eines ersten Ätzprozesses zum Ätzen der Dielektrikumschicht und der Ätzstoppschicht zum Bilden einer ersten Öffnung; das Ausführen eines zweiten Ätzprozesses zum Ätzen des metallischen Merkmals und zum Bilden einer zweiten Öffnung in dem metallischen Merkmal, wobei die zweite Öffnung mit der ersten Öffnung verbunden wird; und das Füllen der ersten Öffnung und der zweiten Öffnung mit einem metallischen Material, um einen Kontaktstecker zu bilden. Bei einer Ausführungsform wird beim Implantieren ein Element, das ausgewählt wird aus der Gruppe bestehend aus Ge, Xe, Ar, Si und Kombinationen davon, implantiert. Bei einer Ausführungsform wird beim Implantieren Germanium implantiert. Bei einer Ausführungsform wird beim Implantieren des metallischen Merkmals ein oberer Abschnitt des metallischen Merkmals implantiert und ein unterer Abschnitt des metallischen Merkmals nicht implantiert. Bei einer Ausführungsform wird das metallische Merkmal in einer zusätzlichen Dielektrikumschicht gebildet, wobei ein oberer Abschnitt der zusätzlichen Dielektrikumschicht implantiert wird und ein unterer Abschnitt der zusätzlichen Dielektrikumschicht nicht implantiert wird. Bei einer Ausführungsform wird das Implantieren nach der Ätzstoppschicht ausgeführt, wobei der Dotierstoff durch die Ätzstoppschicht dringt. Bei einer Ausführungsform wird das Implantieren vor dem Bilden der Ätzstoppschicht ausgeführt. Bei einer Ausführungsform umfasst der erste Ätzprozess einen anisotropen Ätzprozess. Bei einer Ausführungsform umfasst der zweite Ätzprozess einen isotropen Ätzprozess.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur eine erste Dielektrikumschicht auf; ein metallisches Merkmal in der ersten Dielektrikumschicht, wobei ein oberer Abschnitt des metallischen Merkmals einen Dotierstoff mit einer ersten Dotierstoffkonzentration aufweist und ein unterer Abschnitt des metallischen Merkmals eine zweite Dotierstoffkonzentration des Dotierstoffs aufweist, die kleiner ist als die erste Dotierstoffkonzentration; eine zweite Dielektrikumschicht über dem metallischen Merkmal; und einen Kontaktstecker, der einen ersten Abschnitt aufweist, der die zweite Dielektrikumschicht durchdringt; und einen zweiten Abschnitt in dem metallischen Merkmal, wobei sich der zweite Abschnitt seitlich über Kanten des ersten Abschnitts hinaus erstreckt und der zweite Abschnitt eine Unterseite im oberen Abschnitt des metallischen Merkmals aufweist. Bei einer Ausführungsform weist der Dotierstoff Germanium auf. Bei einer Ausführungsform ist der untere Abschnitt im Wesentlichen frei von dem Dotierstoff. Bei einer Ausführungsform weist das Verfahren ferner eine Ätzstoppschicht zwischen dem metallischen Merkmal und der zweiten Dielektrikumschicht auf und der Kontaktstecker weist ferner einen dritten Abschnitt in der Ätzstoppschicht auf. Bei einer Ausführungsform weist der dritte Abschnitt des Kontaktsteckers einen ersten Unterabschnitt auf, der direkt unter dem ersten Abschnitt des Kontaktsteckers liegt und davon überlappt wird; und einen zweiten Unterabschnitt, der einen Ring bildet, welcher den ersten Unterabschnitt umgibt, wobei sich der zweite Abschnitt seitlich über die Kanten des ersten Abschnitts des Kontaktsteckers hinaus erstreckt. Bei einer Ausführungsform weist der zweite Unterabschnitt eine Höhe auf, die kleiner ist als eine Dicke der Ätzstoppschicht. Bei einer Ausführungsform weist die Ätzstoppschicht ferner den Dotierstoff auf. Bei einer Ausführungsform weist das metallische Merkmal Kobalt auf und der Kontaktstecker weist Wolfram auf, wobei das Wolfram in physischem Kontakt mit dem metallischen Merkmal und der zweiten Dielektrikumschicht steht.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur eine Source/Drain-Region auf; eine Silizidregion, die sich über der Source/Drain-Region befindet und diese kontaktiert; ein erstes Zwischenschichtdielektrikum; einen ersten Kontaktstecker, der sich über der Silizidregion befindet und diese kontaktiert, wobei sich der erste Kontaktstecker im ersten Zwischenschichtdielektrikum befindet; eine Ätzstoppschicht, die sich über dem ersten Kontaktstecker befindet und diesen kontaktiert; ein zweites Zwischenschichtdielektrikum, das sich über der Ätzstoppschicht befindet und diese kontaktiert; und einen zweiten Kontaktstecker, der einen ersten Abschnitt in dem zweiten Zwischenschichtdielektrikum aufweist; einen zweiten Abschnitt in der Ätzstoppschicht, wobei sich mindestens ein unterer Teil des zweiten Abschnitts seitlich über die Kanten des ersten Abschnitts hinaus erstreckt; und einen dritten Abschnitt, der sich in den ersten Kontaktstecker erstreckt, wobei sich der dritte Abschnitt seitlich über die Kanten des zweiten Abschnitts hinaus erstreckt. Bei einer Ausführungsform weisen die Ätzstoppschicht und ein oberer Teil des ersten Kontaktsteckers ein Dotierstoff auf, wobei sich sowohl der dritte Abschnitt als auch der mindestens untere Teil des zweiten Abschnitts in dem Dotierstoff befinden. Bei einer Ausführungsform weist ein oberer Teil des zweiten Abschnitts des zweiten Kontaktsteckers Kanten auf, die mit den Kanten des ersten Abschnitts bündig sind.
  • Das vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch realisieren, dass solche äquivalente Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren , umfassend: Bilden eines metallischen Merkmals; Bilden einer Ätzstoppschicht über dem metallischen Merkmal; Implantieren des metallischen Merkmals mit einem Dotierstoff; Bilden einer Dielektrikumschicht über der Ätzstoppschicht; Ausführen eines ersten Ätzprozesses zum Ätzen der Dielektrikumschicht und der Ätzstoppschicht zum Bilden einer ersten Öffnung; Ausführen eines zweiten Ätzprozesses zum Ätzen des metallischen Merkmals und zum Bilden einer zweiten Öffnung in dem metallischen Merkmal, wobei die zweite Öffnung mit der ersten Öffnung verbunden wird; und Füllen der ersten Öffnung und der zweiten Öffnung mit einem metallischen Material, um einen Kontaktstecker zu bilden.
  2. Verfahren nach Anspruch 1, wobei beim Implantieren ein Element implantiert wird, das ausgewählt wird aus der Gruppe bestehend aus Ge, Xe, Ar, Si und Kombinationen davon.
  3. Verfahren nach Anspruch 2, wobei beim Implantieren Germanium implantiert wird.
  4. Verfahren nach einem der vorstehenden Ansprüche, wobei beim Implantieren des metallischen Merkmals ein oberer Abschnitt des metallischen Merkmals implantiert wird und ein unterer Abschnitt des metallischen Merkmals nicht implantiert wird.
  5. Verfahren nach einem der vorstehenden Ansprüche, wobei das metallische Merkmal in einer zusätzlichen Dielektrikumschicht gebildet wird, und wobei ein oberer Abschnitt der zusätzlichen Dielektrikumschicht implantiert wird und ein unterer Abschnitt der zusätzlichen Dielektrikumschicht nicht implantiert wird.
  6. Verfahren nach einem der vorstehenden Ansprüche 1 bis 5, wobei das Implantieren nach der Ätzstoppschicht ausgeführt wird und der Dotierstoff durch die Ätzstoppschicht dringt.
  7. Verfahren nach einem der vorstehenden Ansprüche 1 bis 5, wobei das Implantieren ausgeführt wird, bevor die Ätzstoppschicht gebildet wird.
  8. Struktur aufweisend: eine erste Dielektrikumschicht; ein metallisches Merkmal in der ersten Dielektrikumschicht, wobei ein oberer Abschnitt des metallischen Merkmals einen Dotierstoff mit einer ersten Dotierstoffkonzentration aufweist und ein unterer Abschnitt des metallischen Merkmals eine zweite Dotierstoffkonzentration des Dotierstoffs aufweist, die kleiner ist als die erste Dotierstoffkonzentration; eine zweite Dielektrikumschicht über dem metallischen Merkmal; und einen Kontaktstecker, aufweisend: einen ersten Abschnitt, der durch die zweite Dielektrikumschicht dringt; und einen zweiten Abschnitt in dem metallischen Merkmal, wobei sich der zweite Abschnitt seitlich über Kanten des ersten Abschnitts hinaus erstreckt und der zweite Abschnitt eine Unterseite im oberen Abschnitt des metallischen Merkmals aufweist.
  9. Struktur nach Anspruch 8, wobei der Dotierstoff Germanium aufweist.
  10. Struktur nach Anspruch 8 oder 9, wobei der untere Abschnitt im Wesentlichen frei von dem Dotierstoff ist.
  11. Struktur nach einem der vorstehenden Ansprüche 8 bis 10, ferner aufweisend eine Ätzstoppschicht zwischen dem metallischen Merkmal und der zweiten Dielektrikumschicht, und wobei der Kontaktstecker ferner einen dritten Abschnitt in der Ätzstoppschicht aufweist.
  12. Struktur nach Anspruch 11, wobei der dritte Abschnitt des Kontaktsteckers aufweist: einen ersten Unterabschnitt, der direkt unter dem ersten Abschnitt des Kontaktsteckers liegt und davon überlappt wird; und einen zweiten Unterabschnitt, der einen Ring bildet, welcher den ersten Unterabschnitt umgibt, wobei sich der zweite Abschnitt seitlich über Kanten des ersten Abschnitts des Kontaktsteckers hinaus erstreckt.
  13. Struktur nach Anspruch 12, wobei der zweite Unterabschnitt eine Höhe aufweist, die kleiner ist als eine Dicke der Ätzstoppschicht.
  14. Struktur nach einem der vorstehenden Ansprüche 11 bis 13, wobei der dritte Abschnitt einen Abschnitt mit einer abgerundeten oberen Fläche aufweist.
  15. Struktur nach einem der vorstehenden Ansprüche 11 bis 14, wobei die Ätzstoppschicht ferner den Dotierstoff aufweist.
  16. Struktur nach einem der vorstehenden Ansprüche 8 bis 15, wobei der zweite Abschnitt eine abgerundete untere Fläche aufweist, die sich von der oberen Fläche des metallischen Merkmals in das metallische Merkmal hinein erstreckt.
  17. Struktur nach einem der vorstehenden Ansprüche 8 bis 16, wobei das metallische Merkmal Kobalt aufweist und der Kontaktstecker Wolfram, wobei das Wolfram in physischem Kontakt mit dem metallischen Merkmal steht, und die zweite Dielektrikumschicht aufweist.
  18. Struktur aufweisend: eine Source/Drain-Region; eine Silizidregion, die sich über der Source/Drain-Region befindet und diese kontaktiert; ein erstes Zwischenschichtdielektrikum; einen ersten Kontaktstecker, der sich über der Silizidregion befindet und diese kontaktiert, wobei sich der erste Kontaktstecker im ersten Zwischenschichtdielektrikum befindet; eine Ätzstoppschicht, die sich über dem ersten Kontaktstecker befindet und diesen kontaktiert; ein zweites Zwischenschichtdielektrikum, das sich über der Ätzstoppschicht befindet und diese kontaktiert; und einen zweiten Kontaktstecker, aufweisend: einen ersten Abschnitt in dem zweiten Zwischenschichtdielektrikum; einen zweiten Abschnitt in der Ätzstoppschicht, wobei sich mindestens ein unterer Teil des zweiten Abschnitts seitlich über die Kanten des ersten Abschnitts hinaus erstreckt; und einen dritten Abschnitt, der sich in den ersten Kontaktstecker erstreckt, wobei sich der dritte Abschnitt seitlich über die Kanten des zweiten Abschnitts hinaus erstreckt.
  19. Struktur nach Anspruch 18, wobei die Ätzstoppschicht und ein oberer Teil des ersten Kontaktsteckers einen Dotierstoff aufweisen und sich sowohl der dritte Abschnitt als auch der mindestens untere Teil des zweiten Abschnitts in dem Dotierstoff befinden.
  20. Struktur nach Anspruch 18 oder 19, wobei ein oberer Teil des zweiten Abschnitts des zweiten Kontaktsteckers Kanten aufweist, die mit den Kanten des ersten Abschnitts bündig sind.
DE102020122407.9A 2020-08-24 2020-08-27 Untere seitliche ausdehnung von kontaktsteckern durch implantierung Pending DE102020122407A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/001,247 2020-08-24
US17/001,247 US11502000B2 (en) 2020-08-24 2020-08-24 Bottom lateral expansion of contact plugs through implantation

Publications (1)

Publication Number Publication Date
DE102020122407A1 true DE102020122407A1 (de) 2022-02-24

Family

ID=79181190

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020122407.9A Pending DE102020122407A1 (de) 2020-08-24 2020-08-27 Untere seitliche ausdehnung von kontaktsteckern durch implantierung

Country Status (4)

Country Link
US (2) US11502000B2 (de)
KR (1) KR102587147B1 (de)
CN (1) CN113793834B (de)
DE (1) DE102020122407A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11652171B2 (en) * 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040102035A1 (en) 2001-12-13 2004-05-27 Samsung Electronics Co., Ltd. Semiconductor devices and methods for fabricating the same
DE102006035645A1 (de) 2006-07-31 2008-02-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer elektrisch leitfähigen Leitung in einem integrierten Schaltkreis
DE102018125000A1 (de) 2017-11-14 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Durchkontaktierungsstruktur und Verfahren davon
US20190304833A1 (en) 2018-03-29 2019-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure
US20200105586A1 (en) 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for Side Wall Passivation

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3436672B2 (ja) * 1997-11-26 2003-08-11 松下電器産業株式会社 半導体装置及びその製造方法
KR100524801B1 (ko) * 2002-10-05 2005-11-02 주식회사 하이닉스반도체 이중 도핑 프로파일을 갖는 반도체 소자의 콘택플러그형성 방법
JP4764606B2 (ja) 2004-03-04 2011-09-07 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2006165454A (ja) * 2004-12-10 2006-06-22 Sony Corp 半導体装置の製造方法および半導体装置
KR100791345B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 리세스된 구형 실리사이드 접촉부를 포함하는 반도체 소자및 그 제조 방법
KR20090022802A (ko) * 2007-08-31 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7867895B2 (en) 2007-09-20 2011-01-11 International Business Machines Corporation Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US7767583B2 (en) 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
US8134234B2 (en) 2009-06-18 2012-03-13 Kabushiki Kaisha Toshiba Application of Mn for damage restoration after etchback
KR101902402B1 (ko) * 2012-04-05 2018-09-28 삼성전자 주식회사 반도체 장치의 미세 패턴 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10032712B2 (en) * 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
US9583483B2 (en) * 2013-09-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain stressors with recessed top surfaces
KR102307633B1 (ko) * 2014-12-10 2021-10-06 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102246880B1 (ko) * 2015-02-10 2021-04-30 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9881834B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10153198B2 (en) * 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10510751B2 (en) * 2017-08-25 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET isolation structure and method for fabricating the same
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10763168B2 (en) * 2017-11-17 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped via plug and method for forming the same
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US11031286B2 (en) * 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US11145751B2 (en) * 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same
US10361119B1 (en) 2018-04-30 2019-07-23 International Business Machines Corporation Enlarged contact area structure using noble metal cap and noble metal liner
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040102035A1 (en) 2001-12-13 2004-05-27 Samsung Electronics Co., Ltd. Semiconductor devices and methods for fabricating the same
DE102006035645A1 (de) 2006-07-31 2008-02-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer elektrisch leitfähigen Leitung in einem integrierten Schaltkreis
DE102018125000A1 (de) 2017-11-14 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Durchkontaktierungsstruktur und Verfahren davon
US20190304833A1 (en) 2018-03-29 2019-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure
US20200105586A1 (en) 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for Side Wall Passivation

Also Published As

Publication number Publication date
CN113793834B (zh) 2024-05-24
US11502000B2 (en) 2022-11-15
US20220059405A1 (en) 2022-02-24
KR20220025633A (ko) 2022-03-03
KR102587147B1 (ko) 2023-10-06
TW202209569A (zh) 2022-03-01
CN113793834A (zh) 2021-12-14
US20220359286A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
DE102019106763B4 (de) Verfahren zum ausbilden einer integrierten schaltungsstruktur und integrierte schaltungsstruktur
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE102017124663B4 (de) Hybridschema für verbesserte leistung bei p- und n-finfets
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102018100286B4 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102017128255B4 (de) Halbleitervorrichtung und Verfahren
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102019114240A1 (de) Durch schmelzglühen erzeugte source- und drain-bereiche
DE102017124145B4 (de) Verfahren zur Ausbildung von Source-/Drain-Epitaxiegebieten von FinFETs
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102017128585A1 (de) Halbleiterstruktur-Schneideprozess und damit hergestellte Strukturen
DE102018124673A1 (de) Halbleiterstruktur-Schneideverfahren und damit hergestellte Strukturen
DE102017126510A1 (de) Dotierung für Halbleitervorrichtung mit leitfähigem Merkmal
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102018108176A1 (de) Asymmetrische Source- und Drain-Strukturen in Halbleitervorrichtungen
DE102018206437A1 (de) Fin-Fertigungsprozess mit dualer Flachgrabenisolation und einstellbarem Profil für Innen- und Außenfinnen
DE102020108047B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren zum bilden desselben
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102020122407A1 (de) Untere seitliche ausdehnung von kontaktsteckern durch implantierung
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102018126937B4 (de) Finnenschnitt-Isolationsbereiche und Verfahren zu ihrem Bilden
DE102017127770B4 (de) Halbleitervorrichtung und -verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication