DE112012003231B4 - Halbleiterstruktur und verfahren zu deren herstellung - Google Patents

Halbleiterstruktur und verfahren zu deren herstellung Download PDF

Info

Publication number
DE112012003231B4
DE112012003231B4 DE112012003231.4T DE112012003231T DE112012003231B4 DE 112012003231 B4 DE112012003231 B4 DE 112012003231B4 DE 112012003231 T DE112012003231 T DE 112012003231T DE 112012003231 B4 DE112012003231 B4 DE 112012003231B4
Authority
DE
Germany
Prior art keywords
dielectric layer
gate
integrated
region
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112012003231.4T
Other languages
English (en)
Other versions
DE112012003231T5 (de
Inventor
Chengwen Pei
Geng Wang
Yanli Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE112012003231T5 publication Critical patent/DE112012003231T5/de
Application granted granted Critical
Publication of DE112012003231B4 publication Critical patent/DE112012003231B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Halbleiterstruktur, die einen Feldeffekttransistor (FET) beinhaltet, wobei die Halbleiterstruktur aufweist:einen einkristallinen Body-Bereich (10B), der sich in einem Halbleitersubstrat (8) befindet;eine U-förmige Gate-Dielektrikum-Schicht (80);eine leitfähige Gate-Elektrode (82), die in der U-förmigen Gate-Dielektrikum-Schicht eingebettet ist;einen integrierten epitaxialen Diffusionsbereich (16, 18), der zu dem einkristallinen Body-Bereich (10B) an einer Grenzfläche epitaxial ausgerichtet ist, die eine erste horizontale Oberfläche in einer ersten Tiefe (d1) von einer Oberseite des einkristallinen Body-Bereichs aus und eine zweite horizontale Oberfläche in einer zweiten Tiefe (d2) von der Oberseite des einkristallinen Body-Bereichs (10B) aus beinhaltet, wobei die zweite Tiefe (d2) größer als die erste Tiefe (d1) ist, wobei die Oberseite des einkristallinen Body-Bereichs an einen zentralen Teilbereich einer Unterseite der U-förmigen Gate-Dielektrikum-Schicht angrenzt, wobei ein pn-Übergang an der Grenzfläche ausgebildet ist, wobei sich ein Teil des integrierten epitaxialen Diffusionsbereichs über einer horizontalen Ebene umfassend die Unterseite der U-Förmigen Gate-Dielektrikums-Schicht befindet, wobei die Grenzfläche eine vertikale Oberfläche aufweist, die an einem oberen Ende direkt an die erste horizontale Oberfläche und an einem unteren Ende direkt an die zweite horizontale Oberfläche angrenzt, wobei die Grenzfläche eine weitere vertikale Oberfläche aufweist, die an einem unteren Ende direkt an die erste horizontale Oberfläche angrenzt und an einem oberen Ende an einen peripheren Teilbereich der Unterseite der U-förmigen Gate-Dielektrikum-Schicht angrenzt, wobei der integrierte epitaxiale Diffusionsbereich über die vertikale Oberfläche und die weitere vertikale Oberfläche hinweg zu dem einkristallinen Body-Bereich epitaxial ausgerichtet ist, wobei eine Seitenwand des Teils des integrierten epitaxialen Diffusionsbereichs in Kontakt mit einem unteren Teilbereich einer äußeren vertikalen Seitenwand der U-förmigen Gate-Dielektrikum-Schicht ist, wobei der periphere Teilbereich der Unterseite der U-förmigen Gate-Dielektrikum-Schicht in Kontakt mit einer Oberfläche des integrierten epitaxialen Diffusionsbereichs ist, wobei sich eine vertikale Ebene umfassend eine Grenzfläche zwischen der Seitenwand des Teils des integrierten epitaxialen Diffusionsbereichs und dem unteren Teilbereich der äußeren Seitenwand der U-förmigen Gate-Dielektrikum-Schicht zwischen einer vertikalen Ebene umfassend die vertikale Oberfläche und einer vertikalen Ebene umfassend die weitere vertikale Oberfläche befindet; undeine dielektrische Planarisierungsschicht (70), die den integrierten epitaxialen Diffusionsbereich bedeckt und die U-förmige Gate-Dielektrikum-Schicht lateral umgibt, wobei sich eine Seitenwand der dielektrischen Planarisierungsschicht von der Seitenwand des Teils des integrierten epitaxialen Diffusionsbereichs erstreckt, wobei die Seitenwand der dielektrischen Planarisierungsschicht in Kontakt mit einem oberen Teilbereich der äußeren vertikalen Seitenwand der U-förmigen Gate-Dielektrikum-Schicht ist.

Description

  • HINTERGRUND
  • Die vorliegende Offenbarung bezieht sich allgemein auf Halbleitereinheiten und spezieller auf Komplementär-Metall-Oxid-Halbleiter(CMOS)-Feldeffekttransistoren, die epitaxiale Source- und Drain-Erweiterungsbereiche beinhalten, sowie auf Verfahren zum Herstellen derselben.
  • Mit einem Skalieren von Halbleitereinheiten ist die Verteilung von elektrisch aktiven Dotierstoffen in Source- und Drain-Erweiterungsbereichen eines Metall-Oxid-Halbleiter-Feldeffekttransistors (MOSFET) statistisch bestimmt. Des Weiteren wird es mit der Verringerung von lateralen Abmessungen für die Source- und Drain-Bereiche schwieriger, die Ausdehnung der Source- und Drain-Bereiche zu steuern. Somit ist der Widerstand der Source- und Drain-Bereiche einer größeren statistischen Schwankung unterworfen, d.h. mit dem Skalieren wird eine Steuerung des Widerstands von Source- und Drain-Erweiterungsbereichen schwieriger.
  • Die Leistungsfähigkeit eines MOSFET ist jedoch häufig entscheidend von dem Widerstand der Source- und Drain-Erweiterungsbereiche abhängig. Im Einzelnen resultiert ein hoher Widerstand von Source oder Drain in einem MOSFET in einer Degradation des Einschaltstroms und der Schaltgeschwindigkeit des MOSFET. So muss der Widerstand der Source- und Drain-Erweiterungsbereiche niedrig gehalten werden, um einen MOSFET mit hoher Leistungsfähigkeit bereitzustellen.
  • Des Weiteren verursacht ein lonenstreueffekt, der mit herkömmlichen lonenimplantationsprozessen einhergeht, die auf dotierte Source- und Drain-Erweiterungsbereiche angewendet werden, eine Degradation der Leistungsfähigkeit durch einen Kurzkanaleffekt (SCE, Short Channel Effect) in MOSFETs mit hoher Leistungsfähigkeit. Die stochastische Beschaffenheit des Pfades der implantierten elektrischen Dotierstoffe (die entweder Dotierstoffe vom p-Typ oder Dotierstoffe vom n-Typ sind) bewirkt, dass das Dotierstoffkonzentrationsprofil um Grenzflächen zwischen einem Body-Bereich eines MOSFET und Source- und Drain-Erweiterungsbereichen des MOSFET aufgrund einer signifikanten Interdiffusion von elektrischen Dotierstoffen zwischen dem Body-Bereich und den Source- und Drain-Erweiterungsbereichen graduell variiert, wodurch der Kurzkanaleffekt verschlimmert wird.
  • Die US 7 335 959 B2 betrifft ein Bauteil, das aufweist: ein Substrat mit einer oberen Fläche und einer ersten und einer zweiten gestuften Quellen/Senken-Ausnehmung; einen ersten gestuften Quellen/Senken-Bereich in der ersten gestuften Quellen/Senken-Ausnehmung, wobei der erste gestufte Quellen-/Senkenbereich eine erste Schicht eines ersten Halbleitermaterials und eine zweite Schicht des ersten Halbleitermaterials umfasst; einen zweiten gestuften Quellen/Senken-Bereich in der zweiten gestuften Quellen/Senken-Ausnehmung wobei der zweite gestufte Quellen-/Senkbereich eine dritte Schicht des ersten Halbleitermaterials und eine vierte Schicht des ersten Halbleitermaterials umfasst; wobei sowohl die erste als auch die zweite gestufte Quellen/Senken-Ausnehmung eine erste Stufe, die sich zu einer ersten Tiefe unterhalb der oberen Fläche des Substrates erstreckt, und eine zweite Stufe, die sich zu einer zweiten Tiefe unterhalb der oberen Fläche des Substrates erstreckt, umfasst, wobei die zweite Tiefe tiefer ist als die erste Tiefe; und wobei ein kleinster Abstand zwischen der ersten Stufe der ersten gestuften Quellen/Senken-Ausnehmung und der ersten Stufe der zweiten gestuften Quellen/Senken-Ausnehmung kleiner ist als ein kleinster Abstand zwischen der zweiten Stufe der ersten gestuften Quellen/Senken-Ausnehmung und der zweiten Stufe der zweiten gestuften Quellen/Senken-Ausnehmung.
  • Die US 6 599 803 B2 betrifft ein Verfahren zur Herstellung eines Halbleiterbauelements, das geeignet ist, ein isotropes Ätzprofil beim Ätzen eines Siliziumsubstrats zu verkörpern, wenn eine einzelne Drain-Zelle gebildet wird, mit den Schritten: a) Bilden einer Gate-Elektrode auf einem Siliziumsubstrat; b) Bilden eines Abstandshalters, der beide Seiten der Gate-Elektrode kontaktiert; c) Aufwachsen einer Silizium-Germanium-Schicht auf dem Siliziumsubstrat, die am Boden des Abstandshalters freiliegt; d) Freilegen eines Source/Drain-Bildungsbereichs durch selektives Entfernen der Silizium-Germanium-Schicht; und e) Aufwachsen einer epitaktischen Siliziumschicht, die auf dem freigelegten Source/Drain-Bereich dotiert ist.
  • Die US 7 429 775 B1 betrifft einen Metall-Oxid-Halbleiter-Transistor, der Folgendes umfasst: einen Kanalbereich; einen Source-Bereich; einen Drain-Bereich; gespanntes Material in mindestens einem von dem Source-Gebiet und dem Drain-Gebiet mit einer ersten Kante und einer zweiten Kante entlang eines Endes des gespannten Materials, wobei die erste Kante zwischen der zweiten Kante und dem Kanalgebiet liegt, um eine Spannung in dem Kanalgebiet zu induzieren, die ausreicht, um die Ladungsträgerbeweglichkeit in dem Kanalgebiet zu erhöhen; und einen Source/Drain-Erweiterungsbereich zwischen der ersten Kante und dem Kanalbereich.
  • Die US 2008 / 0 067 545 A1 betrifft eine Halbleitervorrichtung, die Folgendes umfasst: ein Gate-Muster auf einem Halbleitersubstrat, wobei das Halbleitersubstrat mit einem Dotierstoff ersten Typs dotiert ist; ein erstes Halbleitermuster in dem Halbleitersubstrat, wobei das erste Halbleitermuster eine Druck- oder Zugkraft auf einen Kanalbereich unterhalb des Gate-Musters ausübt; und ein zweites Halbleitermuster in dem Halbleitersubstrat, das an das erste Halbleitermuster angrenzt; wobei das erste und das zweite Halbleitermuster mit einem Dotierstoff zweiten Typs dotiert sind, und das erste Halbleitermuster zwischen dem Kanalbereich und dem zweiten Halbleitermuster liegt.
  • Die US 2006 / 0 065 939 A1 betrifft eine integrierte Schaltung mit komplementären Metalloxid-Halbleitern. Die Schaltung kann mit NMOS- und PMOS-Transistoren gebildet werden, die ein Gate-Dielektrikum mit hoher Dielektrizitätskonstante über einem Halbleitersubstrat aufweisen. Über dem Gate-Dielektrikum kann eine Metall-Sperrschicht gebildet werden. Über der Metallsperrschicht wird eine Metallschicht zur Einstellung der Austrittsarbeitsfunktion gebildet, und über der Metallschicht zur Einstellung der Austrittsarbeitsfunktion wird eine Metallkappenschicht gebildet.
  • Die US 2008 / 0 111 167 A1 betrifft ein Verfahren zur Herstellung eines Halbleiterbauelements, wobei das Verfahren den Schritt des Ausbildens einer Gate-Elektrode, die ein Metall enthält, über einem Halbleitersubstrat unter Zwischenschaltung eines Gate-Isolierfilms umfasst, wobei der Schritt die folgenden Unterschritte umfasst: (a) Ausbilden einer ersten Gate-Elektrodenschicht, die eine Austrittsarbeitsfunktion der Gate-Elektrode auf dem Gate-Isolierfilm definiert; (b) Ausbilden einer zweiten Gate-Elektrodenschicht, die eine Barriere-Eigenschaft für Unterschichten auf der ersten Gate-Elektrodenschicht aufweist; und (c) Ausbilden einer dritten Gate-Elektrodenschicht, deren Widerstand niedriger ist als der Widerstand der ersten Gate-Elektrodenschicht, auf der zweiten Gate-Elektrodenschicht durch chemische Gasphasenabscheidung.
  • KURZDARSTELLUNG
  • Die Erfindung betrifft eine Halbleiterstruktur und ein Verfahren zu deren Herstellung, deren Merkmale in den unabhängigen Ansprüchen angegeben sind. Ausführungsformen sind in den abhängigen Ansprüchen angegeben.
  • In einem Beispiel wird ein Paar von eine horizontale Stufe beinhaltenden Gräben in einer Halbleiterschicht gebildet, indem ein Paar von ersten Gräben mit einer ersten Tiefe um eine Gate-Struktur auf der Halbleiterschicht herum gebildet wird, ein austauschbarer Abstandshalter um die Gate-Struktur herum gebildet wird, um proximale Teilbereiche der ersten Gräben zu bedecken, und indem ein Paar von zweiten Gräben bis zu einer zweiten Tiefe gebildet wird, die größer als die erste Tiefe ist. Der austauschbare Abstandshalter wird entfernt, und es wird eine selektive Epitaxie durchgeführt, um einen integrierten epitaxialen Source- und Source-Erweiterungsbereich sowie einen integrierten epitaxialen Drain- und Drain-Erweiterungsbereich zu bilden. Nach einer Abscheidung und einer Planarisierung einer dielektrischen Planarisierungsschicht und einer nachfolgenden Entfernung der Gate-Struktur kann eine Ersetzungs-Gate-Struktur gebildet werden. Wechselweise kann eine dielektrische Schicht für eine Kontaktebene direkt auf den integrierten epitaxialen Bereichen abgeschieden werden, und es können Kontakt-Durchkontakt-Strukturen darin gebildet werden.
  • In einem weiteren Beispiel wird eine Halbleiterstruktur bereitgestellt, die einen Feldeffekttransistor (FET) beinhaltet. Die Halbleiterstruktur beinhaltet: einen einkristallinen Body-Bereich, der sich in einem Halbleitersubstrat befindet; und einen integrierten epitaxialen Diffusionsbereich, der zu dem einkristallinen Body-Bereich an einer Grenzfläche epitaxial ausgerichtet ist, die eine erste horizontale Oberfläche in einer ersten Tiefe von einer Oberseite des einkristallinen Body-Bereichs aus und eine zweite horizontale Oberfläche in einer zweiten Tiefe von der Oberseite des einkristallinen Body-Bereichs aus beinhaltet, wobei die zweite Tiefe größer als die erste Tiefe ist.
  • In einem weiteren Beispiel wird ein Verfahren zum Bilden einer Halbleiterstruktur bereitgestellt. Das Verfahren beinhaltet: Bilden einer Gate-Struktur auf einer Halbleiterschicht in einem Halbleitersubstrat; Bilden eines Paars von ersten Gräben mit einer ersten Tiefe um die Gate-Struktur in der Halbleiterschicht herum; Bilden eines austauschbaren Abstandshalters um die Gate-Struktur herum, um proximale Teilbereiche der ersten Gräben zu bedecken; Bilden eines Paars von zweiten Gräben bis zu einer zweiten Tiefe, die größer als die erste Tiefe ist, indem Teilbereiche des Paars der ersten Gräben vertieft werden, die nicht durch die Gate-Struktur und den austauschbaren Abstandshalter bedeckt sind; Entfernen des austauschbaren Abstandshalters, wobei ein Paar von eine horizontale Stufe beinhaltenden Gräben gebildet wird; und Bilden eines integrierten epitaxialen Source- und Source-Erweiterungsbereichs sowie eines integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs, indem das Paar von eine horizontale Stufe beinhaltenden Gräben mit einem dotierten Halbleitermaterial gefüllt wird.
  • Figurenliste
    • 1 ist eine vertikale Querschnittansicht einer ersten exemplarischen Halbleiterstruktur nach einer Bildung von Schichten für einen Gate-Stapel gemäß einer ersten Ausführungsform der vorliegenden Offenbarung.
    • 2 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung einer Gate-Struktur gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 3 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung eines Gate-Abstandshalters gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 4 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung eines Paars von ersten Gräben gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 5 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung eines austauschbaren Abstandshalters gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 6 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung eines Paars von zweiten Gräben gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 7 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung eines Paars von eine horizontale Stufe beinhaltenden Gräben gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 8 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung eines integrierten epitaxialen Source- und Source-Erweiterungsbereichs sowie eines integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 9 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Abscheidung und einer Planarisierung einer dielektrischen Planarisierungsschicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 10 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Entfernung der Gate-Struktur gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 11 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einem lateralen Ätzen von Seitenwänden der dielektrischen Planarisierungsschicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 12 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung einer Ersetzungs-Gate-Struktur gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 13 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung einer dielektrischen Schicht für eine Kontaktebene gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 14 ist eine vertikale Querschnittansicht der ersten exemplarischen Halbleiterstruktur nach einer Bildung von Metall-Halbleiter-Legierungs-Teilbereichen und von Kontakt-Durchkontakt-Strukturen gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 15 ist eine vertikale Querschnittansicht einer zweiten exemplarischen Halbleiterstruktur nach einer Bildung einer dielektrischen Schicht für eine Kontaktebene, von Metall-Halbleiter-Legierungs-Teilbereichen und von Kontakt-Durchkontakt-Strukturen gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Wie vorstehend ausgeführt, bezieht sich die vorliegende Offenbarung auf Komplementär-Metall-Oxid-Halbleiter(CMOS)-Feldeffekttransistoren, die epitaxiale Source- und Drain-Erweiterungsbereiche beinhalten, sowie auf Verfahren zum Herstellen derselben, die nunmehr mit begleitenden Figuren detailliert beschrieben werden. Es ist anzumerken, dass sich gleiche Bezugszeichen über verschiedene Ausführungsformen hinweg auf gleiche Elemente beziehen. Die Zeichnungen sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • Bezugnehmend auf 1 beinhaltet eine erste exemplarische Halbleiterstruktur gemäß einer ersten Ausführungsform der vorliegenden Offenbarung ein Substrat 8 und Schichten eines Gate-Stapels, die darauf ausgebildet sind. Das Substrat 8 kann ein Halbleiter-auf-Isolator(SOI)-Substrat oder ein Bulk-Halbleitersubstrat sein. Das Substrat 8 beinhaltet einen einkristallinen Halbleiterbereich 10, der ein Bereich aus einem einkristallinen Halbleitermaterial ist.
  • Der einkristalline Halbleiterbereich 10 kann eine obere Halbleiterschicht eines SOI-Substrats oder eines Bulk-Halbleitersubstrats sein. Der einkristalline Halbleiterbereich 10 weist eine Dotierung vom p-Typ oder eine Dotierung vom n-Typ auf. Der Leitfähigkeitstyp der Dotierung des einkristallinen Halbleiterbereichs 10 wird hierin als ein erster Leitfähigkeitstyp bezeichnet. In dem Substrat 8 können flache Grabenisolationsstrukturen 20 gebildet werden, die ein dielektrisches Material beinhalten, um zwischen zu bildenden benachbarten Halbleitereinheiten eine elektrische Isolation bereitzustellen.
  • Die Schichten des Gate-Stapels können einen Stapel von unten nach oben aus einer Gate-Dielektrikum-Schicht 50L, einer Gate-Leiter-Schicht 52L und einer Gate-Deck-Dielektrikum-Schicht 56L beinhalten. Die Schichten (50L, 52L, 56L) des Gate-Stapels können „flächendeckende“ Schichten sein, d.h. unstrukturierte planare Schichten, die jeweils durchgehend eine gleichmäßige Dicke aufweisen.
  • Die Gate-Dielektrikum-Schicht 50L beinhaltet ein dielektrisches Material, das Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder ein Stapel derselben sein kann. Wechselweise kann die Gate-Dielektrikum-Schicht 50L eine Schicht aus einem Material mit einer hohen Dielektrizitätskonstanten (High-k-Material) sein, die eine Dielektrizitätskonstante aufweist, die größer als 8,0 ist. In einer Ausführungsform kann die Gate-Dielektrikum-Schicht 50L ein dielektrisches Metalloxid beinhalten, das ein High-k-Material ist, das ein Metall und Sauerstoff enthält, und auf dem Fachgebiet als High-k-Gate-Dielektrikum-Materialien bekannt ist. Dielektrische Metalloxide können mittels Verfahren abgeschieden werden, die auf dem Fachgebiet allgemein bekannt sind, die zum Beispiel chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Molekularstrahlabscheidung (MBD), Abscheidung mit einem gepulsten Laser (PLD, Pulsed Laser Deposition), chemische Abscheidung mit einer vernebelten Flüssigkeitsquelle (LSMCD, Liquid Source Misted Chemical Deposition), atomare Schichtabscheidung (ALD) etc. beinhalten. Exemplarische High-k-Dielektrikum-Materialien beinhalten HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, ein Silicat derselben sowie eine Legierung derselben. Jeder Wert von x ist unabhängig von 0,5 bis 3, und jeder Wert von y ist unabhängig von 0 bis 2. Die Dicke der Gate-Dielektrikum-Schicht 50L kann von 0,9 nm bis 6 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die Gate-Leiter-Schicht 52L beinhaltet ein leitfähiges Material, das ein dotiertes Halbleitermaterial, ein metallisches Material oder eine Kombination derselben sein kann. Das dotierte Halbleitermaterial kann, wenn vorhanden, dotiertes Polysilicium, dotiertes polykristallines Germanium, eine dotierte Silicium-Germanium-Legierung, irgendein anderes elementares oder Verbindungshalbleitermaterial oder eine Kombination derselben sein. Das metallische Material kann, wenn vorhanden, irgendein metallisches Material sein, das mittels chemischer Gasphasenabscheidung (CVD), physikalischer Gasphasenabscheidung (PVD) oder einer Kombination derselben abgeschieden werden kann. Das metallische Material kann zum Beispiel Aluminium und/oder Wolfram beinhalten. Die Dicke der Gate-Leiter-Schicht 52L kann von 30 nm bis 500 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die Gate-Deck-Dielektrikum-Schicht 56L beinhaltet ein dielektrisches Material, wie beispielsweise Siliciumnitrid, Siliciumoxid, ein Organosilicatglas (OSG), eine Schicht aus einem Material mit einer hohen Dielektrizitätskonstanten (High-k-Material), die für die Gate-Dielektrikum-Schicht 50L eingesetzt werden kann, oder eine Kombination derselben. Die Gate-Deck-Dielektrikum-Schicht 56L kann zum Beispiel mittels chemischer Gasphasenabscheidung oder mittels irgendeines anderen, auf dem Fachgebiet bekannten Abscheidungsverfahrens abgeschieden werden. Die Dicke der Gate-Deck-Dielektrikum-Schicht 56L kann von 10 nm bis 200 nm und typischer von 20 nm bis 100 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Bezugnehmend auf 2 wird mittels Strukturieren der Schichten (50L, 52L, 56L) des Gate-Stapels ein Gate-Stapel gebildet. Im Einzelnen können die Schichten (50L, 52L, 56L) des Gate-Stapels mittels eines anisotropen Ätzprozesses strukturiert werden, der ein strukturiertes Photoresist (nicht gezeigt) als eine maskierende Schicht einsetzt.
  • Der verbleibende Teilbereich der Gate-Deck-Dielektrikum-Schicht 56L ist ein Gate-Deck-Dielektrikum 56. Der verbleibende Teilbereich der Gate-Leiter-Schicht 52L ist ein Gate-Leiter 52. Der verbleibende Teilbereich der Gate-Dielektrikum-Schicht 50L ist ein Gate-Dielektrikum 50. Der Gate-Stapel beinhaltet das Gate-Deck-Dielektrikum 56, den Gate-Leiter 52 und das Gate-Dielektrikum 50. Die Seitenwände des Gate-Leiters 52 sind mit den Seitenwänden des Gate-Deck-Dielektrikums 56 und mit den Seitenwänden des Gate-Dielektrikums 50 koinzident, d.h. sie koinzidieren in einer Ansicht von oben nach unten entlang einer Richtung senkrecht zu der Oberseite des Halbleitersubstrats 8.
  • Bezugnehmend auf 3 wird mittels Abscheidung einer Schicht aus einem dielektrischen Material und eines anisotropen Ätzvorgangs, der horizontale Teilbereiche der Schicht aus dem dielektrischen Material entfernt, ein Gate-Abstandshalter 58 gebildet. Die verbleibenden vertikalen Teilbereiche der Schicht aus dem dielektrischen Material bilden den Gate-Abstandshalter 58. Der Gate-Abstandshalter 58 beinhaltet ein dielektrisches Material, wie beispielsweise Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder eine Kombination derselben. In einer Ausführungsform kann die Schicht aus dem dielektrischen Material konform abgeschieden werden, d.h. mit einer gleichen Dicke bei vertikalen Teilbereichen und bei horizontalen Teilbereichen. In einer weiteren Ausführungsform kann die Schicht aus dem dielektrischen Material mittels Umwandlung von Teilbereichen der Oberfläche eines Halbleitermaterials an den Seitenwänden des Gate-Leiters 52 gebildet werden, zum Beispiel mittels thermischer Oxidation, thermischer Nitrierung, Plasmaoxidation, Plasmanitrierung oder einer Kombination derselben.
  • Die Breite des Gate-Abstandshalters 58, wie sie an der mit dem Substrat 8 in Kontakt stehenden Basis gemessen wird, kann von 2 nm bis 30 nm betragen, wenngleich geringere und größere Dicken eingesetzt werden können. Die Kombination des Gate-Stapels (50, 52, 56) und des Gate-Abstandshalters 58 bildet eine Gate-Struktur.
  • Bezugnehmend auf 4 wird mittels Vertiefen des Halbleitermaterials in den einkristallinen Halbleiterbereich 10 ein Paar von ersten Gräben 11 gebildet, wobei die Kombination der Gate-Struktur (50, 52, 56, 58) und der flachen Grabenisolationsstrukturen 20 als eine Ätzmaske eingesetzt wird. Das Paar von ersten Gräben 11 erstreckt sich von der Oberseite des einkristallinen Halbleiterbereichs 10 bis in eine erste Tiefe d1, die von 3 nm bis 100 nm betragen kann, wenngleich auch geringere und größere erste Tiefen d1 eingesetzt werden können. Das Ätzen des Halbleitermaterials läuft gleichmäßig über das Substrat 8 hinweg ab, so dass das Paar von ersten Gräben 11 planare Unterseiten aufweist.
  • Das Vertiefen der freigelegten Oberseiten des einkristallinen Halbleiterbereichs 10 kann mittels eines anisotropen Ätzvorgangs durchgeführt werden, wie beispielsweise eines reaktiven lonenätzvorgangs. In diesem Fall können die Seitenwände jedes ersten Grabens 11 vertikal sein. Die vertikalen Seitenwände des Paars von ersten Gräben 11 sind mit Außenwänden des Gate-Abstandshalters 58 vertikal koinzident. Die vertikalen Seitenwände des Paars von ersten Gräben 11 grenzen an einem oberen Ende an die Außenwände des Gate-Abstandshalters 58 an und grenzen an einem unteren Ende an die horizontale Unterseite des Paars von ersten Gräben 11 an.
  • Bezugnehmend auf 5 wird auf den Außenwänden des Gate-Abstandshalters 58 mittels einer konformen Abscheidung einer austauschbaren Materialschicht und eines anisotropen Ätzvorgangs, der horizontale Teilbereiche der austauschbaren Materialschicht entfernt, ein austauschbarer Abstandshalter 60 gebildet. Die verbleibenden vertikalen Teilbereiche der austauschbaren Materialschicht bilden den austauschbaren Abstandshalter 60.
  • In einer Ausführungsform ist der anisotrope Ätzvorgang selektiv bezüglich des Halbleitermaterials des einkristallinen Halbleiterbereichs 10, und der anisotrope Ätzvorgang geht nach einer Entfernung von horizontalen Teilbereichen der austauschbaren Materialschicht weiter, bis vertikale Teilbereiche der austauschbaren Materialschicht um die flachen Grabenisolationsstrukturen 20 herum entfernt sind.
  • Die austauschbare Materialschicht beinhaltet ein Material, das selektiv bezüglich der Materialien des Gate-Abstandshalters 58, des Gate-Deck-Dielektrikums 56 und des einkristallinen Halbleiterbereichs 10 entfernt werden kann. In einer Ausführungsform kann ebenso das Material der Schicht aus einem dielektrischen Material selektiv bezüglich des Materials der flachen Grabenisolationsstrukturen entfernt werden. In einer Ausführungsform kann die austauschbare Materialschicht ein Halbleitermaterial beinhalten, wie beispielsweise Germanium oder eine Silicium-Germanium-Legierung, die Germanium mit einer atomaren Konzentration von mehr als 20 % beinhaltet. In einer weiteren Ausführungsform kann die austauschbare Materialschicht ein dielektrisches Material, wie beispielsweise ein Organosilicatglas, oder andere poröse oder nicht-poröse dielektrische Materialien beinhalten, die sich von den dielektrischen Materialien des Gate-Abstandshalters 58 und des Gate-Deck-Dielektrikums 56 unterscheiden. In einem ersten Beispiel können die flachen Grabenisolationsstrukturen 20, das Gate-Deck-Dielektrikum 56 und der Gate-Abstandshalter 58 Siliciumoxid und/oder Siliciumnitrid beinhalten, und die austauschbare Materialschicht kann ein Organosilicatglas beinhalten. In einem zweiten Beispiel können die flachen Grabenisolationsstrukturen 20, das Gate-Deck-Dielektrikum 56 und der Gate-Abstandshalter 58 Siliciumoxid beinhalten, und die austauschbare Materialschicht kann Siliciumnitrid beinhalten oder umgekehrt.
  • Die Breite des austauschbaren Abstandshalters 60, wie sie an der mit der Unterseite der ersten Gräben 11 in Kontakt stehenden Basis gemessen wird, kann von 5 nm bis 100 nm betragen, wenngleich auch geringere und größere Breiten eingesetzt werden können.
  • Bezugnehmend auf 6 wird mittels Vertiefen des Halbleitermaterials in dem einkristallinen Halbleiterbereich 10 ein Paar von zweiten Gräben 13 gebildet, wobei die Kombination der Gate-Struktur (50, 52, 56, 58), der flachen Grabenisolationsstrukturen 20 und des austauschbaren Abstandshalters 60 als eine Ätzmaske eingesetzt wird. Das Paar von zweiten Gräben 13 erstreckt sich von der Oberseite des einkristallinen Halbleiterbereichs 10 bis zu einer zweiten Tiefe d2, die von 5 nm bis 300 nm betragen kann, wenngleich auch geringere und größere zweite Tiefen d2 eingesetzt werden können. Das Ätzen des Halbleitermaterials läuft gleichmäßig über das Substrat 8 hinweg ab, so dass das Paar von zweiten Gräben 11 planare Unterseiten aufweist. Die zweite Tiefe d2 ist größer als die erste Tiefe d1.
  • Das Vertiefen der freigelegten Oberseiten des einkristallinen Halbleiterbereichs 10 kann mittels eines anisotropen Ätzvorgangs durchgeführt werden, wie beispielsweise eines reaktiven Ionenätzvorgangs. In diesem Fall können die Seitenwände jedes zweiten Grabens 13 vertikal sein. Die vertikalen Seitenwände des Paars von zweiten Gräben 13 sind mit Außenwänden des austauschbaren Abstandshalters 60 vertikal koinzident. Die vertikalen Seitenwände des Paars von zweiten Gräben 13 grenzen an einem oberen Ende an die Außenwände des austauschbaren Abstandshalters 60 an und grenzen an einem unteren Ende an die horizontale Unterseite des Paars von zweiten Gräben 13 an.
  • Bezugnehmend auf 7 wird der austauschbare Abstandshalter 60 selektiv bezüglich freigelegter Oberflächen der Gate-Struktur (50, 52, 56, 58) entfernt, d.h. selektiv bezüglich des Gate-Deck-Dielektrikums 56, des Gate-Abstandshalters 58. Optional kann die Entfernung des austauschbaren Abstandshalters 60 selektiv bezüglich der flachen Grabenisolationsstrukturen 20 sein. Bei Entfernung des austauschbaren Abstandshalter 60 wird innerhalb des Substrats 8 ein Paar von eine horizontale Stufe beinhaltenden Gräben 15 gebildet.
  • Jeder eine horizontale Stufe beinhaltende Graben 15 weist eine erste vertikale Seitenwand, die sich von einem untersten Teilbereich der Außenwände des Gate-Abstandshalters 58 bis zu der ersten Tiefe d1 in das Substrat 8 hinein erstreckt, eine erste horizontale Oberfläche, die sich in der ersten Tiefe d1 befindet, eine zweite vertikale Seitenwand, die sich von der ersten Tiefe d1 bis zu der zweiten Tiefe d2 erstreckt, sowie eine zweite horizontale Oberfläche auf, die sich in der zweiten Tiefe d2 befindet. Die ersten vertikalen Seitenwände des Paars von eine horizontale Stufe beinhaltenden Gräben 15 sind mit den Außenwänden des Gate-Abstandshalters 58 vertikal koinzident.
  • Bezugnehmend auf 8 werden integrierte epitaxiale Diffusionsbereiche gebildet. Eine „integrierte“ Struktur bedeutet hierin eine Struktur, die durchgehend ein gleiches Material beinhaltet und keinerlei physische Grenzfläche darin beinhaltet, die auf atomarer oder molekularer Ebene eine strukturelle Diskontinuität aufweist. So beinhaltet eine integrierte Struktur keinerlei physisch manifestierte Grenzfläche, wie beispielsweise eine Korngrenze oder eine Materialschicht zwischen zwei Flächen.
  • Wie hierin verwendet, bezieht sich ein „integrierter epitaxialer Diffusionsbereich“ auf einen integrierten epitaxialen Source- und Source-Erweiterungsbereich oder einen integrierten epitaxialen Drain- und Drain-Erweiterungsbereich. Die integrierten epitaxialen Diffusionsbereiche beinhalten einen integrierten epitaxialen Source- und Source-Erweiterungsbereich 16 sowie einen integrierten epitaxialen Drain- und Drain-Erweiterungsbereich 18 werden mittels Füllen des Paars von eine horizontale Stufe beinhaltenden Gräben 15 mit einem dotierten Halbleitermaterial gebildet. Der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 ist eine integrierte Struktur, welche die Funktion eines epitaxialen Source-Bereichs und eines epitaxialen Source-Erweiterungsbereichs ohne irgendeine physisch manifestierte Grenzfläche darin ausführt. Der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 ist eine integrierte Struktur, welche die Funktion eines epitaxialen Drain-Bereichs und eines epitaxialen Drain-Erweiterungsbereichs ohne irgendeine physisch manifestierte Grenzfläche darin ausführt.
  • Der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 sowie der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 werden mittels selektiver Epitaxie gebildet, bei der ein Halbleitermaterial lediglich auf freigelegten Halbleiteroberflächen abgeschieden wird, während das Halbleitermaterial auf dielektrischen Oberflächen nicht abgeschieden wird. Die selektive Epitaxie setzt gleichzeitige oder alternierende Schritte von Abscheidung und Ätzen eines Halbleitermaterials ein. Die Abscheidung wird mittels eines Strömens eines Reaktandengases, das eine Vorläuferverbindung für ein Halbleitermaterial beinhaltet, in einer Prozesskammer bewerkstelligt, in der die erste exemplarische Halbleiterstruktur für ein Bearbeiten angeordnet ist. Exemplarische Vorläuferverbindungen für ein Halbleitermaterial beinhalten SiH4, Si2H6, SiH2Cl2, SiHCl3, SiCl4, GeH4, Ge2H6 und GeH2Cl2, sind jedoch nicht darauf beschränkt. Der Ätzschritt, der gleichzeitig mit oder in Wechselfolge mit dem Abscheidungsschritt durchgeführt wird, wird mittels Strömen eines Ätzgases in der Prozesskammer bewerkstelligt. Exemplarische Ätzmittel beinhalten HCL, sind jedoch nicht darauf beschränkt.
  • Der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 sowie der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 sind mit elektrischen Dotierstoffen eines zweiten Leitfähigkeitstyps dotiert, welcher der entgegengesetzte Typ des ersten Leitfähigkeitstyps ist. Wenn zum Beispiel der erste Leitfähigkeitstyp der p-Typ ist, ist der zweite Leitfähigkeitstyp der n-Typ und umgekehrt. Wie vorstehend erörtert, ist der einkristalline Halbleiterbereich 10 eine Halbleiterschicht, die ein einkristallines Halbleitermaterial des ersten Leitfähigkeitstyps beinhaltet, das hierin als ein erstes einkristallines Halbleitermaterial bezeichnet wird. Der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 sowie der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 sind zu dem einkristallinen Halbleiterbereich 10 epitaxial ausgerichtet.
  • An den Grenzflächen zwischen dem einkristallinen Halbleiterbereich 10 und jedem von dem integrierten epitaxialen Source- und Source-Erweiterungsbereich 16 und dem integrierten epitaxialen Drain- und Drain-Erweiterungsbereich 18 ist ein Paar von pn-Übergängen ausgebildet. Jeder pn-Übergang beinhaltet eine erste vertikale Oberfläche, die sich von einem untersten Teilbereich der Außenwände des Gate-Abstandshalters 58 bis zu der ersten Tiefe d1 in das Substrat 8 hinein erstreckt, eine erste horizontale Oberfläche, die sich in der ersten Tiefe d1 befindet, eine zweite vertikale Oberfläche, die sich von der ersten Tiefe d1 bis zu der zweiten Tiefe d2 erstreckt, sowie eine zweite horizontale Oberfläche, die sich in der zweiten Tiefe d2 befindet.
  • Ein oberer Teilbereich des einkristallinen Halbleiterbereichs 10, der sich bis zu den zwei pn-Übergängen und den flachen Grabenisolationsstrukturen 20 erstreckt, die den integrierten epitaxialen Source- und Source-Erweiterungsbereich 16 sowie den integrierten epitaxialen Drain- und Drain-Erweiterungsbereich 18 umgeben, wirkt als ein einkristalliner Body-Bereich 10B.
  • Der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 ist zu dem einkristallinen Body-Bereich 10B an der Gesamtheit einer Grenzfläche epitaxial ausgerichtet, die eine erste horizontale Oberfläche in der ersten Tiefe d1 von einer Oberseite des einkristallinen Body-Bereichs 10B aus, eine zweite horizontale Oberfläche in der zweiten Tiefe d2 von der Oberseite des einkristallinen Body-Bereichs 10B aus, eine erste vertikale Oberfläche, die an eine Außenseite des Gate-Abstandshalters 58 angrenzt und sich von der Oberseite des einkristallinen Body-Bereichs 10B bis zu der ersten Tiefe d1 erstreckt, und eine zweite vertikale Oberfläche beinhaltet, die an einem oberen Ende an die erste horizontale Oberfläche angrenzt und an einem unteren Ende an die zweite horizontale Oberfläche angrenzt.
  • Des Weiteren ist der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 zu dem einkristallinen Body-Bereich 10B an der Gesamtheit einer Grenzfläche epitaxial ausgerichtet, die eine dritte horizontale Oberfläche in der ersten Tiefe d1 von einer Oberseite des einkristallinen Body-Bereichs 10B aus, eine vierte horizontale Oberfläche in der zweiten Tiefe d2 von der Oberseite des einkristallinen Body-Bereichs 10B aus, eine dritte vertikale Oberfläche, die an eine Außenseite des Gate-Abstandshalters 58 angrenzt und sich von der Oberseite des einkristallinen Body-Bereichs 10B bis zu der ersten Tiefe d1 erstreckt, und eine vierte vertikale Oberfläche beinhaltet, die an einem oberen Ende an die dritte horizontale Oberfläche angrenzt und an einem unteren Ende an die vierte horizontale Oberfläche angrenzt.
  • In einer Ausführungsform kann die Dotierstoffkonzentration während der Bildung des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 und des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18 gleich gehalten werden, indem während des selektiven Epitaxie-Prozesses eine Dotierung in-situ durchgeführt wird. In dieser Ausführungsform weisen die Gesamtheit des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 und eine Gesamtheit des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18 durchgehend eine gleiche Dotierstoffkonzentration auf.
  • Der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 und der einkristalline Body-Bereich 18 können andere Halbleitermaterialien als oder können das gleiche Halbleitermaterial wie das Halbleitermaterial des einkristallinen Halbleiterbereichs 10 aufweisen (mit Ausnahme der elektrischen Dotierstoffe).
  • Die freigelegten Oberflächen des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 sowie des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18 können Facetten beinhalten, die Ebenen mit Miller'schem Index der einkristallinen Halbleitermaterialien des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 sowie des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18 sind.
  • Bezugnehmend auf 9 wird eine dielektrische Planarisierungsschicht 70 abgeschieden und nachfolgend über dem integrierten epitaxialen Source- und Source-Erweiterungsbereich 16 sowie dem integrierten epitaxialen Drain- und Drain-Erweiterungsbereich 18 planarisiert. Die dielektrische Planarisierungsschicht 70 beinhaltet ein dielektrisches Material, wie beispielsweise Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, ein Organosilicatglas oder eine Kombination derselben. Die Dicke der dielektrischen Planarisierungsschicht 70, wie sie abgeschieden ist, ist größer als die Höhe der Gate-Struktur (50, 52, 56, 58), so dass sich die Oberseite der dielektrischen Planarisierungsschicht 70, wie sie abgeschieden ist, über der Oberseite der Gate-Struktur (50, 52, 56, 58) befindet. Die Planarisierung der dielektrischen Planarisierungsschicht 70 kann zum Beispiel mittels einer chemisch-mechanischen Planarisierung (CMP) durchgeführt werden, die das Gate-Deck-Dielektrikum 56 als eine Stoppschicht einsetzt.
  • Bezugnehmend auf 10 wird die Gate-Struktur (50, 52, 56, 58) selektiv bezüglich des einkristallinen Halbleiterbereichs 10 und der dielektrischen Planarisierungsschicht 10 entfernt, um einen Gate-Hohlraum 59 zu bilden. Es können ein Nassätzvorgang, ein Trockenätzvorgang oder eine Kombination derselben eingesetzt werden, um die verschiedenen Komponenten der Gate-Struktur (50, 52, 56, 58) zu entfernen.
  • Bezugnehmend auf 11 werden Seitenwände der dielektrischen Planarisierungsschicht 70 und freigelegte Teilbereiche des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 sowie des einkristallinen Body-Bereichs 18 mittels Einsetzen eines isotropen Ätzvorgangs, wie beispielsweise eines Nassätzvorgangs, lateral vertieft. Der Gate-Hohlraum 59 wird lateral erweitert, da freigelegte Teilbereiche des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 sowie des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18 selektiv bezüglich des einkristallinen Halbleiterbereichs 10 entfernt werden. Bei einer lateralen Erweiterung des Gate-Hohlraums 59 liegen periphere Teilbereiche des Gate-Hohlraums 59 über einem verbleibenden Teilbereich des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 sowie einem verbleibenden Teilbereich des einkristallinen Body-Bereichs 18.
  • Bezugnehmend auf 12 wird eine Ersetzungs-Gate-Struktur gebildet, indem der Gate-Hohlraum 59 mit einer Ersetzungs-Gate-Dielektrikum-Schicht und einer Ersetzungs-Gate-Leiter-Schicht gefüllt wird und indem nachfolgend Teilbereiche der Ersetzungs-Gate-Dielektrikum-Schicht und der Ersetzungs-Gate-Leiter-Schicht von über der Oberseite der dielektrischen Planarisierungsschicht 70 entfernt werden. Der verbleibende Teilbereich der Ersetzungs-Gate-Dielektrikum-Schicht ist eine U-förmige Gate-Dielektrikum-Schicht 80, und der verbleibende Teilbereich der Ersetzungs-Gate-Leiter-Schicht ist eine leitfähige Gate-Elektrode 82. Die U-förmige Gate-Dielektrikum-Schicht 80 und die leitfähige Gate-Elektrode 82 bilden insgesamt eine Ersetzungs-Gate-Struktur (80, 82).
  • Eine Seitenwand des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16 ist in Kontakt mit einem unteren Teilbereich einer Außenwand der U-förmigen Gate-Dielektrikum-Schicht 80. In ähnlicher Weise ist eine Seitenwand des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18 in Kontakt mit einem unteren Teilbereich einer weiteren Außenwand der U-förmigen Gate-Dielektrikum-Schicht 80. Ein peripherer Teilbereich einer Unterseite der U-förmigen Gate-Dielektrikum-Schicht 80 ist in Kontakt mit einer Oberfläche des integrierten epitaxialen Source- und Source-Erweiterungsbereichs 16. In ähnlicher Weise ist ein peripherer Teilbereich einer Unterseite der U-förmigen Gate-Dielektrikum-Schicht 80 in Kontakt mit einer Oberfläche des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs 18.
  • Eine vertikale Oberfläche des pn-Übergangs zwischen dem einkristallinen Body-Bereich 10B (siehe 8) und dem integrierten epitaxialen Source- und Source-Erweiterungsbereich 16 grenzt an einem oberen Ende direkt an eine Unterseite der U-förmigen Gate-Dielektrikum-Schicht 80 an. In ähnlicher Weise grenzt eine vertikale Oberfläche des pn-Übergangs zwischen dem einkristallinen Body-Bereich 10B (siehe 8) und dem integrierten epitaxialen Drain- und Drain-Erweiterungsbereich 18 an einem oberen Ende direkt an die Unterseite der U-förmigen Gate-Dielektrikum-Schicht 80 an.
  • Bezugnehmend auf 13 wird über der dielektrischen Planarisierungsschicht 70 und der Ersetzungs-Gate-Struktur (80, 82) eine dielektrische Schicht 90 für eine Kontaktebene gebildet. Die dielektrische Schicht 90 für die Kontaktebene kann irgendein Material beinhalten, das als ein dielektrisches Material in Metallzwischenverbindungsstrukturen eingesetzt werden kann. Zum Beispiel kann die dielektrische Schicht 90 für die Kontaktebene ein dotiertes oder undotiertes Silicatglas, Siliciumnitrid, ein Organosilicatglas oder eine Kombination derselben beinhalten.
  • Bezugnehmend auf 14 können verschiedene Metall-Halbleiter-Legierungs-Teilbereiche und Kontakt-Durchkontakt-Strukturen gebildet werden. Die verschiedenen Metall-Halbleiter-Legierungs-Teilbereiche können zum Beispiel einen Metall-Halbleiter-Legierungs-Teilbereich 86 auf der Source-Seite und einen Metall-Halbleiter-Legierungs-Teilbereich 88 auf der Drain-Seite beinhalten. Die verschiedenen Metall-Halbleiter-Legierungs-Teilbereiche können ein Metallsilicid beinhalten, wenn der integrierte epitaxiale Source- und Source-Erweiterungsbereich 16 und der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 Silicium beinhalten. Die Kontakt-Durchkontakt-Strukturen können zum Beispiel eine Kontakt-Durchkontakt-Struktur 96 auf der Source-Seite, eine Kontakt-Durchkontakt-Struktur 98 auf der Drain-Seite und eine Kontakt-Durchkontakt-Struktur 92 auf der Gate-Seite beinhalten.
  • Bezugnehmend auf 15 kann eine zweite exemplarische Halbleiterstruktur gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung aus der ersten exemplarischen Halbleiterstruktur von 8 abgeleitet werden, indem eine dielektrische Schicht 190 für eine Kontaktebene abgeschieden und planarisiert wird und verschiedene Metall-Halbleiter-Legierungs-Teilbereiche und Kontakt-Durchkontakt-Strukturen gebildet werden. Die verschiedenen Metall-Halbleiter-Legierungs-Teilbereiche können zum Beispiel einen Metall-Halbleiter-Legierungs-Teilbereich 86 auf der Source-Seite, einen Metall-Halbleiter-Legierungs-Teilbereich 88 auf der Drain-Seite und einen Metall-Halbleiter-Legierungs-Teilbereich 82 auf der Gate-Seite beinhalten. Die verschiedenen Metall-Halbleiter-Legierungs-Teilbereiche können ein Metallsilicid beinhalten, wenn der integrierte epitaxiale Source- und Source-Erweiterungs-Teilbereich 16 sowie der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich 18 Silicium beinhalten. Die Kontakt-Durchkontakt-Strukturen können zum Beispiel eine Kontakt-Durchkontakt-Struktur 96 auf der Source-Seite, eine Kontakt-Durchkontakt-Struktur 98 auf der Drain-Seite und eine Kontakt-Durchkontakt-Struktur 92 auf der Gate-Seite beinhalten.
  • INDUSTRIELLE ANWENDBARKEIT
  • Die vorliegende Erfindung findet industrielle Anwendbarkeit in der Auslegung und Fertigung von Halbleiter-Feldeffekttransistor(FET)-Einheiten mit hoher Leistungsfähigkeit, die in integrierten Schaltkreischips eingebaut sind, die in einer großen Vielfalt von elektronischen und elektrischen Vorrichtungen Anwendung finden.

Claims (11)

  1. Halbleiterstruktur, die einen Feldeffekttransistor (FET) beinhaltet, wobei die Halbleiterstruktur aufweist: einen einkristallinen Body-Bereich (10B), der sich in einem Halbleitersubstrat (8) befindet; eine U-förmige Gate-Dielektrikum-Schicht (80); eine leitfähige Gate-Elektrode (82), die in der U-förmigen Gate-Dielektrikum-Schicht eingebettet ist; einen integrierten epitaxialen Diffusionsbereich (16, 18), der zu dem einkristallinen Body-Bereich (10B) an einer Grenzfläche epitaxial ausgerichtet ist, die eine erste horizontale Oberfläche in einer ersten Tiefe (d1) von einer Oberseite des einkristallinen Body-Bereichs aus und eine zweite horizontale Oberfläche in einer zweiten Tiefe (d2) von der Oberseite des einkristallinen Body-Bereichs (10B) aus beinhaltet, wobei die zweite Tiefe (d2) größer als die erste Tiefe (d1) ist, wobei die Oberseite des einkristallinen Body-Bereichs an einen zentralen Teilbereich einer Unterseite der U-förmigen Gate-Dielektrikum-Schicht angrenzt, wobei ein pn-Übergang an der Grenzfläche ausgebildet ist, wobei sich ein Teil des integrierten epitaxialen Diffusionsbereichs über einer horizontalen Ebene umfassend die Unterseite der U-Förmigen Gate-Dielektrikums-Schicht befindet, wobei die Grenzfläche eine vertikale Oberfläche aufweist, die an einem oberen Ende direkt an die erste horizontale Oberfläche und an einem unteren Ende direkt an die zweite horizontale Oberfläche angrenzt, wobei die Grenzfläche eine weitere vertikale Oberfläche aufweist, die an einem unteren Ende direkt an die erste horizontale Oberfläche angrenzt und an einem oberen Ende an einen peripheren Teilbereich der Unterseite der U-förmigen Gate-Dielektrikum-Schicht angrenzt, wobei der integrierte epitaxiale Diffusionsbereich über die vertikale Oberfläche und die weitere vertikale Oberfläche hinweg zu dem einkristallinen Body-Bereich epitaxial ausgerichtet ist, wobei eine Seitenwand des Teils des integrierten epitaxialen Diffusionsbereichs in Kontakt mit einem unteren Teilbereich einer äußeren vertikalen Seitenwand der U-förmigen Gate-Dielektrikum-Schicht ist, wobei der periphere Teilbereich der Unterseite der U-förmigen Gate-Dielektrikum-Schicht in Kontakt mit einer Oberfläche des integrierten epitaxialen Diffusionsbereichs ist, wobei sich eine vertikale Ebene umfassend eine Grenzfläche zwischen der Seitenwand des Teils des integrierten epitaxialen Diffusionsbereichs und dem unteren Teilbereich der äußeren Seitenwand der U-förmigen Gate-Dielektrikum-Schicht zwischen einer vertikalen Ebene umfassend die vertikale Oberfläche und einer vertikalen Ebene umfassend die weitere vertikale Oberfläche befindet; und eine dielektrische Planarisierungsschicht (70), die den integrierten epitaxialen Diffusionsbereich bedeckt und die U-förmige Gate-Dielektrikum-Schicht lateral umgibt, wobei sich eine Seitenwand der dielektrischen Planarisierungsschicht von der Seitenwand des Teils des integrierten epitaxialen Diffusionsbereichs erstreckt, wobei die Seitenwand der dielektrischen Planarisierungsschicht in Kontakt mit einem oberen Teilbereich der äußeren vertikalen Seitenwand der U-förmigen Gate-Dielektrikum-Schicht ist.
  2. Halbleiterstruktur nach Anspruch 1, wobei eine Gesamtheit des integrierten epitaxialen Diffusionsbereichs durchgehend eine gleiche Dotierstoffkonzentration aufweist.
  3. Halbleiterstruktur nach Anspruch 2, wobei der einkristalline Body-Bereich eine Dotierung eines ersten Leitfähigkeitstyps aufweist und der integrierte epitaxiale Diffusionsbereich eine Dotierung eines zweiten Leitfähigkeitstyps aufweist, welcher der entgegengesetzte des ersten Leitfähigkeitstyps ist.
  4. Halbleiterstruktur nach Anspruch 1, wobei der integrierte epitaxiale Diffusionsbereich und der einkristalline Body-Bereich (10B) unterschiedliche Halbleitermaterialien aufweisen.
  5. Halbleiterstruktur nach Anspruch 1, die des Weiteren einen weiteren integrierten epitaxialen Diffusionsbereich (16, 18) aufweist, der zu dem einkristallinen Body-Bereich an einer weiteren Grenzfläche epitaxial ausgerichtet ist, die eine dritte horizontale Oberfläche in der ersten Tiefe von der Oberseite des einkristallinen Body-Bereichs aus und eine vierte horizontale Oberfläche in der zweiten Tiefe von der Oberseite des einkristallinen Body-Bereichs aus beinhaltet.
  6. Halbleiterstruktur nach Anspruch 5, wobei eine Gesamtheit des integrierten epitaxialen Diffusionsbereichs und eine Gesamtheit des weiteren integrierten epitaxialen Diffusionsbereichs durchgehend eine gleiche Dotierstoffkonzentration aufweisen.
  7. Verfahren zum Bilden einer Halbleiterstruktur, die einen Feldeffekttransistor (FET) beinhaltet, wobei das Verfahren aufweist: Bilden einer Gate-Struktur (50, 52, 56, 58) auf einer Halbleiterschicht (10) in einem Halbleitersubstrat (8); Bilden eines Paars von ersten Gräben (11) mit einer ersten Tiefe (d1) um die Gate-Struktur in der Halbleiterschicht herum; Bilden eines zu entfernenden Abstandshalters (60) um die Gate-Struktur herum, um proximale Teilbereiche der ersten Gräben zu bedecken, wobei ein einkristalliner Body-Bereich des FETs durch die proximalen Teilbereiche der ersten Gräben begrenzt ist; Bilden eines Paars von zweiten Gräben (13) bis zu einer zweiten Tiefe (d2), die größer als die erste Tiefe (d1) ist, indem Teilbereiche des Paars von ersten Gräben vertieft werden, die nicht durch die Gate-Struktur und den zu entfernenden Abstandshalter (60) bedeckt sind; Entfernen des zu entfernenden Abstandshalters (60), wobei ein Paar von eine horizontale Stufe beinhaltenden Gräben gebildet wird; Bilden eines integrierten epitaxialen Source- und Source-Erweiterungsbereichs (16) und eines integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs (18) mittels selektiver Epitaxie, indem das Paar von eine horizontale Stufe beinhaltenden Gräben mit einem dotierten Halbleitermaterial gefüllt wird, Abscheiden und Planarisieren einer dielektrischen Planarisierungsschicht (70) über dem integrierten epitaxialen Source- und Source-Erweiterungsbereich (16) sowie dem integrierten epitaxialen Drain- und Drain-Erweiterungsbereich (18); und Ersetzen der Gate-Struktur durch eine Ersetzungs-Gate-Struktur, wobei das Ersetzen der Gate-Struktur durch eine Ersetzungs- Gate -Struktur aufweist: Entfernen der Gate-Struktur selektiv bezüglich der Halbleiterschicht, um einen Gate-Hohlraum (59) zu bilden; laterales Erweitern des Gate-Hohlraums mittels Entfernen freigelegter Teilbereiche des integrierten epitaxialen Source- und Source-Erweiterungsbereichs (16) sowie des integrierten epitaxialen Drain- und Drain-Erweiterungsbereichs (18) selektiv bezüglich der Halbleiterschicht; und Füllen des lateral erweiterten Hohlraums mit einer Ersetzungs-Gate-Dielektrikum-Schicht und einer Ersetzungs-Gate-Leiter-Schicht und nachfolgend Entfernen von Teilbereichen der Ersetzungs-Gate-Dielektrikum-Schicht und der Ersetzungs-Gate-Leiter-Schicht von über der Oberseite der dielektrischen Planarisierungsschicht derart, dass eine Seitenwand der dielektrischen Planarisierungsschicht in Kontakt mit einem oberen Teilbereich der äußeren vertikalen Seitenwand der so erhaltenen U-förmigen Gate-Dielektrikum-Schicht (80) ist.
  8. Verfahren nach Anspruch 7, wobei die Halbleiterschicht ein einkristallines Halbleitermaterial beinhaltet und der integrierte epitaxiale Source- und Source-Erweiterungsbereich sowie der integrierte epitaxiale Drain- und Drain-Erweiterungsbereich zu der Halbleiterschicht epitaxial ausgerichtet sind.
  9. Verfahren nach Anspruch 7, das des Weiteren aufweist: Bilden von flächendeckenden Schichten (50L, 52L, 56L) für einen Gate-Stapel auf dem Halbleitersubstrat; Strukturieren der flächendeckenden Schichten des Gate-Stapels, um einen Gate-Stapel zu bilden; und Bilden eines Gate-Abstandshalters (58) um den Gate-Stapel herum, wobei eine Kombination des Gate-Stapels und des Gate-Abstandshalters die Gate-Struktur bildet.
  10. Verfahren nach Anspruch 7, wobei der zu entfernende Abstandshalter selektiv bezüglich der Gate-Struktur entfernt wird.
  11. Verfahren nach Anspruch 9, wobei Seitenwände des Paars von eine horizontale Stufe beinhaltenden Gräben nach einer Bildung des Paars von eine horizontale Stufe beinhaltenden Gräben mit Außenwänden des Gate-Abstandshalters vertikal koinzident sind.
DE112012003231.4T 2011-08-04 2012-05-31 Halbleiterstruktur und verfahren zu deren herstellung Active DE112012003231B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
USUS-13/198,152 2011-08-04
US13/198,152 2011-08-04
US13/198,152 US9076817B2 (en) 2011-08-04 2011-08-04 Epitaxial extension CMOS transistor
PCT/US2012/040067 WO2013019305A1 (en) 2011-08-04 2012-05-31 Epitaxial extension cmos transistor

Publications (2)

Publication Number Publication Date
DE112012003231T5 DE112012003231T5 (de) 2014-04-17
DE112012003231B4 true DE112012003231B4 (de) 2022-02-10

Family

ID=47626426

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012003231.4T Active DE112012003231B4 (de) 2011-08-04 2012-05-31 Halbleiterstruktur und verfahren zu deren herstellung

Country Status (5)

Country Link
US (2) US9076817B2 (de)
CN (1) CN103718301B (de)
DE (1) DE112012003231B4 (de)
GB (1) GB2506031B (de)
WO (1) WO2013019305A1 (de)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101716113B1 (ko) * 2010-11-03 2017-03-15 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9034701B2 (en) 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8847315B2 (en) * 2012-05-07 2014-09-30 Qualcomm Incorporated Complementary metal-oxide-semiconductor (CMOS) device and method
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9059206B2 (en) * 2012-12-10 2015-06-16 International Business Machines Corporation Epitaxial grown extremely shallow extension region
CN104701164A (zh) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体器件和半导体器件的制作方法
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102280238B1 (ko) * 2015-01-30 2021-07-20 삼성전자주식회사 반도체 소자 제조 방법
US20160300928A1 (en) * 2015-04-13 2016-10-13 Globalfoundries Inc. Densely packed transistor devices
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
US11049939B2 (en) * 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US9941363B2 (en) 2015-12-18 2018-04-10 International Business Machines Corporation III-V transistor device with self-aligned doped bottom barrier
US9859389B1 (en) * 2016-06-27 2018-01-02 International Business Machines Corporation Sidewall protective layer for contact formation
US10388576B2 (en) 2016-06-30 2019-08-20 International Business Machines Corporation Semiconductor device including dual trench epitaxial dual-liner contacts
KR102552949B1 (ko) 2016-09-02 2023-07-06 삼성전자주식회사 반도체 장치
US9647123B1 (en) 2016-10-14 2017-05-09 International Business Machines Corporation Self-aligned sigma extension regions for vertical transistors
CN110047926B (zh) * 2018-01-15 2023-08-29 联华电子股份有限公司 半导体装置以及其制作方法
US10580685B2 (en) * 2018-07-27 2020-03-03 Globalfoundries Inc. Integrated single diffusion break
US11264499B2 (en) * 2019-09-16 2022-03-01 Globalfoundries U.S. Inc. Transistor devices with source/drain regions comprising an interface layer that comprises a non-semiconductor material
US11145727B2 (en) * 2019-10-29 2021-10-12 Nanya Technology Corporation Semiconductor structure and method of forming the same
CN112582476B (zh) * 2020-12-09 2022-05-06 全芯智造技术有限公司 半导体器件及其形成方法
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599803B2 (en) 2001-12-03 2003-07-29 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20060065939A1 (en) 2004-09-27 2006-03-30 Doczy Mark L Metal gate electrode semiconductor device
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US20080067545A1 (en) 2006-09-20 2008-03-20 Samsung Electronics Co., Ltd Semiconductor device including field effect transistor and method of forming the same
US20080111167A1 (en) 2006-06-20 2008-05-15 Sony Corporation Method for manufacturing semiconductor device and semiconductor device
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950034830A (ko) 1994-04-29 1995-12-28 빈센트 비. 인그라시아 전계 효과 트랜지스터 및 이 트랜지스터의 제조 방법
US6225174B1 (en) * 1996-06-13 2001-05-01 Micron Technology, Inc. Method for forming a spacer using photosensitive material
TW506079B (en) 2000-02-17 2002-10-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6946696B2 (en) * 2002-12-23 2005-09-20 International Business Machines Corporation Self-aligned isolation double-gate FET
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
KR100620446B1 (ko) 2004-03-09 2006-09-12 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 제조 방법
JP3910971B2 (ja) * 2004-03-26 2007-04-25 株式会社東芝 電界効果トランジスタ
CN100479188C (zh) * 2004-07-09 2009-04-15 北京大学 一种体硅mos晶体管的制作方法
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7696537B2 (en) * 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US7291898B1 (en) 2005-06-06 2007-11-06 Newport Fab, Llc Selective and non-selective epitaxy for base integration in a BiCMOS process and related structure
US7579617B2 (en) * 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
TWI259520B (en) * 2005-07-13 2006-08-01 Promos Technologies Inc Semiconductor device and manufacturing method thereof
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US7413961B2 (en) 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure
US7569896B2 (en) * 2006-05-22 2009-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stressed channels
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20090035911A1 (en) * 2007-07-30 2009-02-05 Willy Rachmady Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
DE102008047127B4 (de) * 2008-05-30 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung integral ausgebildeter Drain- und Source-Gebiete in einem Silizium/Germanium enthaltenden Transistorbauelement und Halbleiterbauelement
JP2010010587A (ja) * 2008-06-30 2010-01-14 Toshiba Corp 半導体素子及び半導体素子の製造方法
DE102008063427B4 (de) * 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
US8236658B2 (en) * 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
KR101668097B1 (ko) * 2010-03-12 2016-10-24 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
US8685847B2 (en) * 2010-10-27 2014-04-01 International Business Machines Corporation Semiconductor device having localized extremely thin silicon on insulator channel region
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US20120205716A1 (en) * 2011-02-16 2012-08-16 International Business Machines Corporation Epitaxially Grown Extension Regions for Scaled CMOS Devices
US8466502B2 (en) * 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599803B2 (en) 2001-12-03 2003-07-29 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20060065939A1 (en) 2004-09-27 2006-03-30 Doczy Mark L Metal gate electrode semiconductor device
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US20080111167A1 (en) 2006-06-20 2008-05-15 Sony Corporation Method for manufacturing semiconductor device and semiconductor device
US20080067545A1 (en) 2006-09-20 2008-03-20 Samsung Electronics Co., Ltd Semiconductor device including field effect transistor and method of forming the same

Also Published As

Publication number Publication date
GB201320434D0 (en) 2014-01-01
US20130171794A1 (en) 2013-07-04
US9093466B2 (en) 2015-07-28
CN103718301B (zh) 2016-08-17
US9076817B2 (en) 2015-07-07
GB2506031A (en) 2014-03-19
CN103718301A (zh) 2014-04-09
GB2506031B (en) 2014-07-09
US20130032859A1 (en) 2013-02-07
WO2013019305A1 (en) 2013-02-07
DE112012003231T5 (de) 2014-04-17

Similar Documents

Publication Publication Date Title
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE112016003961B4 (de) Vertikale Transistoren und Verfahren zur Herstellung derselben
DE102012105738B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102012214077B4 (de) Verfahren zum Bilden einer integrierten Schaltung
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102015108690B4 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102012217491B4 (de) Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor
DE102011004506B4 (de) Herstellungsverfahren für ein Halbleiterbauelement und Halbleiterbauelement als Stegtransistor, der auf einem strukturierten STI-Gebiet durch eine späte Stegätzung hergestellt ist
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE102019116739A1 (de) Hochleistungs-mosfet
DE102013103470B4 (de) Verfahren für einen Feldeffekttransistor
DE102018115909A1 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102012101875A1 (de) Vorrichtung mit hoher Gatedichte und Verfahren zu deren Herstellung
DE102011088584B4 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE112013001158T5 (de) Gate-all-around-Halbleiter-Nanodraht-FETs auf massiven Halbleiter-Wafern
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102019122443A1 (de) Transistoren mit Halbleiter-Stapelschichten als Kanäle
DE102016113819B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102018124855A1 (de) Dual-Gate-Dielektrikum-Transistor

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final