DE102012217491B4 - Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor - Google Patents

Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor Download PDF

Info

Publication number
DE102012217491B4
DE102012217491B4 DE102012217491.5A DE102012217491A DE102012217491B4 DE 102012217491 B4 DE102012217491 B4 DE 102012217491B4 DE 102012217491 A DE102012217491 A DE 102012217491A DE 102012217491 B4 DE102012217491 B4 DE 102012217491B4
Authority
DE
Germany
Prior art keywords
gate structure
layer
sidewalls
air gap
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102012217491.5A
Other languages
English (en)
Other versions
DE102012217491A1 (de
Inventor
Takashi Ando
Josephine B. Chang
Sivananda K. Kanakasabapathy
Pranita Kulkarni
Theodorus E. Standaert
Tenko Yamashita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102012217491A1 publication Critical patent/DE102012217491A1/de
Application granted granted Critical
Publication of DE102012217491B4 publication Critical patent/DE102012217491B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Transistor, aufweisend;
eine Gate-Struktur (6, 102), die über einem Substrat angeordnet ist, wobei die Gate-Struktur (6, 102) eine Breite und auch eine Länge und eine Höhe aufweist, welche zwei gegenüberliegende Seitenwände der Gate-Struktur (6, 102) definieren;
mindestens einen elektrisch leitfähigen Kanal mit einer Source-Zone (108) und einer Drain-Zone, welcher durch die Seitenwände der Gate-Struktur (6, 102) hindurchführt;
eine dielektrische Schicht (310), welche über der Gate-Struktur (6, 102) und Abschnitten des mindestens einen elektrisch leitfähigen Kanals angeordnet ist, die außerhalb der Gate-Struktur (6, 102) liegen; und
einen Luftspalt (314), der unter der dielektrischen Schicht (310) liegt, wobei der Luftspalt (314) in Nachbarschaft zu den Seitenwänden der Gate-Struktur (6, 102) angeordnet ist,
wobei der Luftspalt (314) zwischen den Seitenwänden der Gate-Struktur (6, 102) und gegenüberliegenden Seitenwänden einer Silizidschicht (10, 216) angeordnet ist, wobei die Silizidschicht (10, 216) über eine darunter angeordnete epitaktische Siliziumschicht (9, 214) auf Abschnitten des mindestens einen elektrisch leitfähigen Kanals angeordnet ist, die außerhalb der Gate -Struktur liegen,
wobei die Silizidschicht (10, 216) auch über der Gate-Struktur (6, 102) ausgebildet ist.

Description

  • TECHNISCHES GEBIET:
  • Die beispielhaften Ausführungsformen der vorliegenden Erfindung betreffen allgemein Feldeffekttransistoren (FETs) und insbesondere Multi-Gate-FETs, z.B. FinFETs, und Techniken zur Verringerung der parasitären Kapazität.
  • HINTERGRUND:
  • Grundsätzlich ist ein FET ein Transistor, der eine Source-, eine Gate- und eine Drain-Zone aufweist. Die Funktion des FET hängt von dem Fluss von Majoritätsträgern entlang einem Kanal zwischen der Source- und der Drain-Zone ab, welcher an der Gate-Zone vorbei verläuft. Der Strom durch den Kanal, der sich zwischen der Source- und der Drain-Zone befindet, wird durch das quer verlaufende elektrische Feld unter der Gate-Zone gesteuert. Es kann mehr als eine Gate-Zone (Multi-Gate) verwendet werden, um den Kanal effektiver zu steuern. Die Länge der Gate-Zone bestimmt, wie schnell der FET schaltet, und kann etwa genauso groß wie die Länge des Kanals (d.h. der Abstand zwischen der Source- und der Drain-Zone) sein.
  • Die Größe von FETs ist durch die Verwendung eines oder mehrerer finnenförmiger Kanäle erfolgreich verringert worden. Ein FET, bei welchem eine solche Kanalstruktur verwendet wird, kann als FinFET bezeichnet werden. Bislang waren Komplementär-Metalloxid-Halbleiter(CMOS)-Einheiten entlang der Fläche des Halbleitersubstrats im Wesentlichen eben, wobei die Ausnahme die FET-Gate-Zone bildete, die über der Oberseite des Kanals angeordnet war. Finnen durchbrechen dieses Muster dadurch, dass eine vertikale Kanalstruktur verwendet wird, um den Oberflächenbereich des Kanals, der zu der Gate-Zone hin frei liegt, zu maximieren.
  • Die Gate-Zone steuert den Kanal stärker, weil sie sich über mehr als eine Seite (Fläche) des Kanals erstreckt. Die Gate-Zone kann zum Beispiel drei Flächen des dreidimensionalen Kanals umschließen, statt dass sie nur über die obere Fläche des herkömmlichen ebenen Kanals angeordnet ist. In einigen Einheiten kann die Gate-Zone den Kanal vollständig umschließen, d.h. ein frei hängender Kanal führt durch die Gate-Zone, und alle Flächen des Kanals liegen zu der Gate-Zone hin frei.
  • Eine Herausforderung bei der Herstellung von Multi-Gate-FETs ist die diesen eigene hohe parasitäre Kapazität im Vergleich zu herkömmlichen ebenen FETs. Als Beispiel kann auf „Simulation Study of Multiple FIN FinFET Device Design für 32nm Technology Node and Beyond", X. Wang u.a., Simulation of Semiconductor Process and Device, Bd. 12, Seite 125 bis 128, und „Impact of Fringe Capacitance on Performance of Nanoscale FinFETs", C. R. Manoj u.a., IEEE Electron Device Letters, Bd. 31, S. 83 bis 85, Bezug genommen werden.
  • Bekannt sind dabei aus US 2011 / 0 049 583 A1 , US 2011 / 0 065 244 A1 , X. Wang et al: Simulation Study of Multiple FIN FinFET Device Design für 32nm Technology Node and Beyond. In: Simulation of Semiconductor Process and Device, 12, 2007, 125 - 128.; C. R. Manoj: Impact of Fringe Capacitance on Performance of Nanoscale FinFETs. In: IEEE Electron Device Letters, 31, 2010, 83 - 85.; US 2010 / 0 258 870 A1 , US 2009 / 0 253 255 A1 , US 2011 / 0 084 340 A1 , US 2001 / 0 045 608 A1 , CN 102 214 595 A , ITRS: International Technology Roadmap For Semiconductors. In: Process, Integration Devices and Structures, 2011, 1 - 37.; sowie aus US 7 838 373 B2 Transistoren mit reduzierter parasitärer Kapazität sowie Verfahren zu deren Herstellung.
  • KURZDARSTELLUNG
  • Erfindungsgemäß ist eine Vorrichtung gemäß Anspruch 1 sowie ein Verfahren nach Anspruch 7 oder 11.
  • KURZE BESCHREIBUNG DER VERSCHIEDENEN ANSICHTEN DER ZEICHNUNGEN
    • 1A bis 1G, zusammen als 1 bezeichnet, geben einen Überblick über ein beispielhaftes Verfahren (Seitenwand-Bildtransfer) zur Herstellung einer FinFET- Einheit; wobei 1A die Definition eines Polysilicium(Poly-Si)-Dorns auf einer SiO2-Schicht zeigt, die auf einer Silicium-auf-Isolator(Silicon on Insulator, SOI)-Schicht ausgebildet ist;
    • 1 B ein Ergebnis nach einer SIT-Abstandsverdopplung zeigt, wobei Seitenwände des Dorns darauf ausgebildete SiN-Schichten aufweisen;
    • 1C ein Ergebnis nach einer Ätzbehandlung und dem Entfernen des Dorns und die resultierenden Finnen zeigt (das darunter angeordnete BOX (Buried Oxide, 6 vergrabene Oxid) und das Substrat des SOI-Wafers sind nicht dargestellt);
    • 1D ein Ergebnis nach der Abscheidung und Planarisierung eines Gate-Stapels, der Abscheidung einer Hartmaske, der Gate-Lithographie und einem reaktiven lonenätzen zeigt;
    • 1E ein Ergebnis nach dem Bilden eines SiN-Versetzungs-Abstandhalters, gefolgt von einer Erweiterungsimplantation, zeigt;
    • 1F ein Ergebnis nach dem epitaxialen Anwachsen von Silicium zeigt, wodurch eine Epi-Si-Schicht gebildet wird, welche die Finnen bedeckt; und
    • 1G ein Ergebnis nach dem Entfernen des Versetzungs-Abstandhalters und dem abschließenden Bilden eines Abstandhalters, gefolgt von der S/D-Implantation und einem Kurzzeit-Tempern, zeigt.
    • 2A bis 2H, zusammen als 2 bezeichnet, veranschaulichen ein anderes beispielhaftes Verfahren zur Herstellung einer FinFET-Einheit, wobei
    • 2A eine Hartmaskenschicht, die auf einem Substrat ausgebildet ist, und eine Polysilicumstruktur zeigt;
    • 2B Siliciumnitrid(SiN)-Strukturen zeigt, die entlang den Seiten der Polysilicumstruktur ausgebildet sind;
    • 2C ein Ergebnis nach dem Ätzen zum Bilden von Finnenstrukturen zeigt;
    • 2D ein Ergebnis nach einer weiteren Ätzbehandlung und dem Bilden eines Gate-Stapels und einer SiN-Schicht senkrecht zu den Finnenstrukturen zeigt;
    • 2E ein Ergebnis nach dem Entfernen von Abschnitten der Hartmaskenschicht und der SiN-Schicht und dem Bilden von SiN-Abstandhaltern entlang dem Gate- 6 Stapel zeigt;
    • 2F eine epitaxiale Silicium-Schicht (Epi-Si) zeigt, die über den Finnenstrukturen abgeschieden ist;
    • 2G eine Querschnittsansicht eines Verfahrens der abgewinkelten 12 Ionenimplantation veranschaulicht, durch welches Source- und Drain-Zonen gebildet werden; und
    • 2H eine Silicidschicht zeigt, die auf der Epi-Si-Schicht und über dem Gate-Stapel ausgebildet ist.
    • 3A eine Querschnittsansicht der FinFET-Einheit zeigt, die entweder durch das beispielhafte Verfahren der 1 oder das beispielhafte Verfahren der 2 gebildet wird.
    • 3B ein Ergebnis nach der weiteren Verarbeitung gemäß den Ausführungsformen der vorliegenden Erfindung zeigt, wobei SiN-Abstandhalter in Nachbarschaft zu Seitenwänden der Gate-Struktur entfernt werden.
    • 3C ein Ergebnis nach dem Abscheiden einer Schicht eines Zwischenschichtdielektrikums zeigt, um die Struktur des FinFET weitgehend einheitlich zu beschichten, wodurch dort, wo die SiN-Abstandhalter in 3B entfernt wurden, ein darunter liegender Luftspalt gebildet wird, der in Nachbarschaft zu Seitenwänden der Gate-Struktur angeordnet ist.
  • DETAILLIERTE BESCHREIBUNG
  • Die beispielhaften Ausführungsformen der vorliegenden Erfindung werden im Folgenden im Kontext eines FinFET beschrieben. 1A bis 1G geben einen Überblick über eine beispielhafte Technik zur Herstellung eines FinFET gemäß einer Ausführungsform, die in der US-Patentanmeldung US 2011/0049583 A1 desselben Inhabers wie der vorliegenden Patentanmeldung, „Recessed Contact for Multi-Gate FET Optimizing Series Resistance“, Chung-Hsun Lin und Josephine B. Chang, dargestellt ist. 2A bis 2H geben einen Überblick über eine andere beispielhafte Technik zur Herstellung eines FinFET gemäß einer Ausführungsform, die in der US- Patentanmeldung US 2011/0065244 A1 desselben Inhabers wie der vorliegenden Patentanmeldung, „Asymmetrie FinFET Device with Improved Parasitic Resistance and Capacitance“, Josephine B. Chang, Leland Chang, Chung-Hsun Lin und Jeffery W. Sleight, dargestellt ist.
  • 1A bis 1G sind in dem beispielhaften Kontext der Seitenwand-Bildtransfer (Side Wall Image Transfer, SIT)-Herstellungstechnik dargestellt, bei welcher ein Abstandhalter als Hartmaske verwendet wird, um die Finne zu definieren. Diese ist jedoch nur eine geeignete FinFET-Herstellungstechnik und sollte für die Anwendung und die Praxis der vorliegenden Erfindung nicht als beschränkend ausgelegt werden. Im Allgemeinen können die beispielhaften Ausführungsformen der vorliegenden Erfindung mit oder ohne eine Hartmaske angewendet werden. Auch kann bei den beispielhaften Ausführungsformen ein Silicium-auf-Isolator(SOI)-Substrat oderein massives Substrat verwendet werden.
  • 1A zeigt die Definition eines Polysilicium(Poly-Si)-Dorns 3 auf einer SiO2- Schicht 2, die auf einer Silicium-auf-Isolator(SOI)-Schicht 1 ausgebildet ist (das darunter angeordnete vergrabene Oxid (BOX) und das Substrat des SOI-Wafers sind nicht dargestellt). 1 B zeigt ein Ergebnis nach einer SIT-Abstandsverdopplung, wobei Seitenwände des Dorns 3 darauf ausgebildete SiN-Schichten 4 aufweisen.
  • FIG. IC zeigt ein Ergebnis nach einer Ätzbehandlung und dem Entfernen des Dorns und die resultierenden Finnen 5 (das darunter angeordnete BOX (vergrabenes Oxid) und das Substrat des SOI-Wafers sind nicht dargestellt). Bei jeder Finne 5 handelt es sich um eine mehrschichtige Struktur, die aus einer unteren Si-Schicht (welche den Kanal des fertigen FinFET bildet), einer mittleren SiO2 -Schicht und einer oberen SiN-Schicht (welche vor der Durchführung der in 1D dargestellten Operationen entfernt wird) zusammengesetzt ist. 1D zeigt ein Ergebnis nach der Abscheidung und Planarisierung eines Gate-Stapels, der Abscheidung einer Hartmaske, der Gate-Lithographie und einem reaktiven lonenätzen (Reactive Ion Etch, RIE). Der resultierende Gate-Stapel weist zum Beispiel eine Poly-Si-Gate-Zone und eine darüber liegende SiN-Schicht auf. 1E zeigt ein Ergebnis nach dem Bilden eines SiN-Versetzungs-Abstandhalters 8, gefolgt von einer Erweiterungsimplantation. 1F zeigt ein Ergebnis nach dem epitaxialen Anwachsen von Silicium (Epi-Si), wodurch eine Epi-Si-Schicht 9 bereitgestellt wird, 12 welche die Finnen 5 bedeckt, wodurch die Finnen zusammengeführt werden. FIG.
  • 1G zeigt ein Ergebnis nach dem Entfernen des Versetzungs-Abstandhalters und dem abschließenden Bilden eines Abstandhalters, gefolgt von der S/D-Implantation und einem Kurzzeit-Tempern (Rapid Thermal Anneal, RTA). Die resultierende FinFET- Einheit 20 weist eine Silicid-Schicht 10 über der Oberseite des Gate-Stapels 6 und über dem Finnen-Epi-Si auf. Allgemein zeigen 1D bis 1G, wie die frei liegenden 18 Finnen 5 der 1C in eine S/D-Zone umgewandelt werden. Die Silicidschicht 10 kann eine Dicke im Bereich von etwa 5 nm bis etwa 30 nm (oder dicker) aufweisen.
  • In einigen Ausführungsformen kann die Silicidschicht 10 eine nominale Dicke von etwa 10 nm aufweisen. Die Silicidschicht 10 kann aus einem beliebigen geeigneten Silicid, zum Beispiel, ohne darauf beschränkt zu sein, Kobaltsilicid (CoSi2), Nickelsilicid (NiSi) oder Platinsilicid (PtSi, Pt2Si), bestehen.
  • 2A bis 2H veranschaulichen ein anderes beispielhaftes Verfahren zur Herstellung einer FinFET-Einheit 100.
  • Bezug nehmend auf 2A, wird eine Siliciumdioxid(SiO2)-Hartmaskenschicht (oder Siliciumnitrid(SiN)-Hartmaskenschicht) 104 auf einem Substrat gebildet. In der dargestellten Ausführungsform kann es sich bei dem Substrat um eine Silicium-auf- 30 Isolator(SOI)-Schicht 111 handeln. In anderen Ausführungsformen kann es sich bei dem Substrat um ein massives Substrat handeln. Auf der Hartmaskenschicht 104 wird durch Abscheidungs- und Ätzverfahren eine Polysiliciumstruktur 204 gebildet.
  • Bezug nehmend auf 2B, werden entlang den Seiten der Polysilicumstruktur 204 unter Anwendung von Abscheidungs- und Ätzverfahren Siliciumnitrid(SiN)-Strukturen 206 gebildet. In 2C sind die Polysilicumstruktur 204 und Abschnitte der Hartmaskenschicht 104 und der SOI-Schicht 111 geätzt, um die Finnenstrukturen 208 zu bilden. Die dargestellten zwei Finnenstrukturen 208 sind beispielhaft, da mehr 6 oder weniger als zwei Finnenstrukturen gebildet werden können. In 2D sind die SiN-Strukturen 206 geätzt, und senkrecht zu den Finnenstrukturen 208 sind ein Gate-Stapel-Abschnitt 102 und eine SiN-Schicht 210 ausgebildet. Bezug nehmend auf 2E, sind Abschnitte der Hartmaskenschicht 104 und der SiN-Schicht 210 entfernt, und entlang dem Gate-Stapel-Abschnitt 102 sind SiN-Abstandhalter 106 ausgebildet. In 2F ist eine epitaxiale Si-Schicht (Epi-Si) 214 über den 12 verbleibenden Finnenstrukturen 205 abgeschieden, um die Finnenstrukturen zusammenzuführen. 2G veranschaulicht eine Querschnittsansicht einer Ionenimplantation, durch welche die Source-108 und Drain-Zone 110 in der SOI- Schicht 111 gebildet werden. In der dargestellten nicht beschränkenden Ausführungsform werden die Ionen 203 in einem Winkel (Theta) von einer Linie senkrecht zu der Source-Zone 108 implantiert. Der Gate-Stapel-Abschnitt 102 und 18 die Abstandhalter 106 blockieren teilweise einige der Ionen 203, so dass sie nicht in großer Konzentration in dem Abschnitt 212 der SOI-Schicht 111 abgeschieden werden, und die Implantation in dem schrägen Winkel (Theta) führt zu einer überlappten Source-Zone 108 und zu einer versetzten Drain-Zone 110. Der Implantationswinkel kann im Bereich von 0 bis 90 Grad und beliebigen weiteren Bereichen zwischen 0 und 90 Grad liegen. In den veranschaulichten Ausführungsformen ist ein beispielhafter Implantationswinkel von 20 bis 30 Grad dargestellt. Bezug nehmend auf 2H, ist auf der Epi-Si-Schicht 214 und über dem Gate-Stapel-Abschnitt 102 eine Silicidschicht 216 ausgebildet.
  • 3A zeigt eine Querschnittsansicht der FinFET-Einheit, die entweder durch das beispielhafte Verfahren der 1 oder das beispielhafte Verfahren der 2 gebildet wird. Der Gate-Stapel 6 oder 102 ist zwischen den SiN-Abstandhaltern 8 bzw. 106 dargestellt. In der Praxis weisen die SiN-Abstandhalter 8 oder 106, wenn sie gebildet werden, ein im Allgemeinen verjüngtes oberes Profil auf, wobei sich die Dicke in Richtung des oberen Bereichs des Abstandhalters allmählich verringert. Die Source(S)- und Drain(D)-Implantationen 300, 302 können in dem darunter liegenden SOI- oder massiven Substratmaterial angeordnet sein.
  • 3B zeigt ein Ergebnis nach der weiteren Verarbeitung gemäß
  • Ausführungsformen der vorliegenden Erfindung, wobei die SiN-Abstandhalter 8 oder 106 entfernt werden. Dies kann durch ein nasschemisches Ätzverfahren erfolgen, z.B. unter Verwendung von heißer Phosphorsäure.
  • 3C zeigt ein Ergebnis nach dem Abscheiden einer Schicht eines Zwischenschichtdielektrikums (Interlayer Dielectric, ILD), z.B. einer SiN-Deckschicht 12 310. Wie zu sehen ist, wird die Struktur des FinFET 20 oder 100 durch die SiN-Deckschicht 310 weitgehend einheitlich beschichtet. Jedoch und gemäß Ausführungsformen der vorliegenden Erfindung wird in den Bereichen, wo die SiN-Abstandhalter8 oder 106 in 3B entfernt wurden, in der Nähe des oberen Bereichs ein eingeschnürter Bereich 312 gebildet, wobei einen darunter liegender Luftspalt 314 zurückgelassen wird, der in Nachbarschaft zu Seitenwänden des Gate-18 Stapels 6 oder 102 angeordnet ist. Der Luftspalt 314 verläuft im Wesentlichen durchgängig entlang den Seitenwänden des Gate-Stapels, nur nicht dort, wo sich die Finne 5 oder 205 von den silicidierten Abschnitten 10 oder 216 in den Gate-Stapel 6 oder 102 erstreckt.
  • In einer nicht beschränkenden Ausführungsform kann die Dicke der ursprünglichen 24 SiN-Abstandhalter 8 oder 106 im Bereich von etwa 5 nm bis etwa 10 nm liegen, welche dann ungefähr zur Breite des Luftspalts 314 wird. Die SiN-Deckschicht kann durch ein Verfahren der plasmaunterstützten chemischen Abscheidung aus der Gasphase (Plasma Enhanced Chemical Vapor Deposition, PECVD) bei einer relativ niedrigen Temperatur (z.B. ungefähr 350 °C) abgeschieden werden. Si3N4 unter Anwendung des Niedertemperatur-PECVD-Verfahrens (-350 °C) ist ein nicht beschränkendes Beispiel für ein geeignetes Verfahren zum Bilden der Deckschicht zum Erzeugen des Luftspalts 314.
  • Bei der Dielektrizitätskonstante der Luft handelt es sich im Vergleich zur Dielektrizitätskonstante von SiN, die etwa 7 bis 7,5 beträgt, ungefähr um die Eins. Der resultierende Abstandhalter, der durch den Luftspalt 314 gebildet wird, sorgt somit für eine verbesserte elektrische Leistungsfähigkeit, indem die parasitäre Kapazität zwischen der Gate-Zone und der Source/Drain-Zone, d.h. zwischen dem Gate-Stapel 6 oder 102 und den Finnen 5 oder 205 der beispielhaften FinFET- Ausführungsformen 20 oder 100 der 1 bzw. 2 verringert wird.
  • Im Allgemeinen kann der FinFET 20 oder 100 gemäß den beispielhaften Ausführungsformen der vorliegenden Erfindung als Einheit des N-Typs oder als Einheit des P-Typs verwirklicht werden. Die Gate-Stapel können unter Verwendung von Poly-Si oder Metall hergestellt werden, es kann ein beliebiges geeignetes Gate-Dielektrikums-Metall verwendet werden, und die Silicidschichten können aus einem beliebigen geeigneten Silicid-Typ bestehen. Die FinFETs 20 oder 100 können so konstruiert sein, dass sie eine einzige Finne oder Kanalstruktur aufweisen, die durch die Breite des Gate-Stapels führt, oder es kann zwei oder mehr Finnen oder Kanalstrukturen geben, die durch die Breite des Gate-Stapels führen. Die beispielhaften Ausführungsformen der vorliegenden Erfindung sind natürlich auf Multi-Gate-Transistoren anwendbar. Außerdem sollte angemerkt werden, dass die beispielhaften Ausführungsformen der vorliegenden Erfindung auch auf Transistoren des Drahttyps anwendbar sind, wobei die Kanalstruktur derart durch die Breite des Gate-Stapels führt, das sich alle Flächen der Kanalstruktur (also die obere Fläche, zwei Seitenflächen und die untere Fläche) in Nachbarschaft zu dem Gate-Stapel befinden oder von diesem umgeben sind und von dem Gate-Stapel elektrisch beeinflusst werden können. In dieser Ausführungsform kann es eine Anzahl von Kanalstrukturen des Drahttyps geben, die durch die Breite des Gate-Stapels führen. Es sollte angemerkt werden, dass der FinFET 20 oder 100 oder allgemeiner die Transistoren 20 oder 100 nicht so auszulegen sind, dass sie auf oder durch die oben angegebenen beispielhaften Geometrien, Materialien, dielektrischen Dünnschichten, Herstellungsverfahren, Abmessungen und/oder Schichtdicken beschränkt sind.

Claims (15)

  1. Transistor, aufweisend; eine Gate-Struktur (6, 102), die über einem Substrat angeordnet ist, wobei die Gate-Struktur (6, 102) eine Breite und auch eine Länge und eine Höhe aufweist, welche zwei gegenüberliegende Seitenwände der Gate-Struktur (6, 102) definieren; mindestens einen elektrisch leitfähigen Kanal mit einer Source-Zone (108) und einer Drain-Zone, welcher durch die Seitenwände der Gate-Struktur (6, 102) hindurchführt; eine dielektrische Schicht (310), welche über der Gate-Struktur (6, 102) und Abschnitten des mindestens einen elektrisch leitfähigen Kanals angeordnet ist, die außerhalb der Gate-Struktur (6, 102) liegen; und einen Luftspalt (314), der unter der dielektrischen Schicht (310) liegt, wobei der Luftspalt (314) in Nachbarschaft zu den Seitenwänden der Gate-Struktur (6, 102) angeordnet ist, wobei der Luftspalt (314) zwischen den Seitenwänden der Gate-Struktur (6, 102) und gegenüberliegenden Seitenwänden einer Silizidschicht (10, 216) angeordnet ist, wobei die Silizidschicht (10, 216) über eine darunter angeordnete epitaktische Siliziumschicht (9, 214) auf Abschnitten des mindestens einen elektrisch leitfähigen Kanals angeordnet ist, die außerhalb der Gate -Struktur liegen, wobei die Silizidschicht (10, 216) auch über der Gate-Struktur (6, 102) ausgebildet ist.
  2. Transistor nach Anspruch 1, wobei der Luftspalt (314) eine Breite von etwa 5 nm bis zu etwa 10 nm aufweist.
  3. Transistor nach Anspruch 2, wobei der Luftspalt (314) im Wesentlichen durchgängig von einem unteren Abschnitt des Luftspalts (314) in Nachbarschaft zu dem Substrat bis zu einem eingeschnürten Bereich verläuft, der durch eine darunter liegende Fläche der dielektrischen Schicht (310) definiert ist, die einen oberen Abschnitt des Luftspalts (314) überbrückt.
  4. Transistor nach Anspruch 1, wobei die dielektrische Schicht (310) aus SiN oder Si3N4 besteht.
  5. Transistor nach Anspruch 1, wobei weniger als alle Flächen des mindestens einen elektrisch leitfähigen Kanals von der Gate-Struktur (6, 102) elektrisch beeinflusst werden.
  6. Transistor nach Anspruch 1, wobei alle Flächen des mindestens einen elektrisch leitfähigen Kanals von der Gate-Struktur (6, 102) elektrisch beeinflusst werden.
  7. Verfahren zur Herstellung eines Transistors, aufweisend: Bilden mindestens eines elektrisch leitfähigen Kanals mit einer Source-Zone (108) und einer Drain-Zone über einem Substrat; Bilden einer Gate-Struktur (6, 102), die über einem Abschnitt des mindestens einen elektrisch leitfähigen Kanals anzuordnen ist, wobei die Gate-Struktur (6, 102) eine Breite und eine Länge und eine Höhe aufweist, welche zwei gegenüberliegende Seitenwände der Gate-Struktur (6, 102) definieren, und so gebildet wird, dass der mindestens eine elektrisch leitfähige Kanal durch die Seitenwände der Gate-Struktur (6, 102) hindurch führt; Bilden von Abstandhaltern an den Seitenwänden der Gate-Struktur (6, 102); Bilden einer Schicht epitaxialen Siliciums (9, 214) über Abschnitten des mindestens einen elektrisch leitfähigen Kanals, die außerhalb der Gatestruktur und des Abstandshalters liegen; Bilden einer Silizidschicht (10, 216) auf dem epitaxialen Silizium und auf der Gatestruktur; Entfernen der Abstandhalter; und Bilden einer dielektrischen Schicht (310), die über der Gate-Struktur (6, 102) und Abschnitten des elektrisch leitfähigen Kanals anzuordnen ist, die außerhalb der Gate-Struktur (6, 102) liegen, so dass unter der dielektrischen Schicht (310) ein Luftspalt (314) liegt, wobei der Luftspalt (314) in Nachbarschaft zu den Seitenwänden der Gate-Struktur (6, 102) in einem Bereich angeordnet ist, der zuvor von den Abstandhaltern besetzt war und somit der Luftspalt (314) zwischen den Seitenwänden der Gatestruktur und gegenüberliegenden Seitenwänden der Silizidschicht (10, 216) angeordnet ist.
  8. Verfahren nach Anspruch 7, wobei beim Bilden der dielektrischen Schicht (310) eine Schicht abgeschieden wird, die aus SiN oder Si3N4 besteht, wobei das Abscheiden der Schicht, die aus SiN oder Si3N4 besteht, unter Anwendung eines Verfahrens der plasmaunterstützten chemischen Abscheidung aus der Gasphase durchgeführt wird.
  9. Verfahren nach Anspruch 8, wobei das Verfahren der plasmaunterstützten chemischen Abscheidung aus der Gasphase bei einer Temperatur von etwa 350 °C durchgeführt wird.
  10. Verfahren nach Anspruch 7, wobei das Entfernen der Abstandhalter unter Anwendung eines nasschemischen Ätzverfahrens durchgeführt wird.
  11. Verfahren zur Verringerung der parasitären Kapazität in einem Multi-Gate Feldeffekttransistor, aufweisend: Herstellen mehrerer Finnen mit einer Source-Zone (108) und einer Drain-Zone; Herstellen einer Gate-Struktur (6, 102), derart, dass die mehreren Finnen durch Seitenwände der Gate-Struktur (6, 102) führen; Bilden von Abstandhaltern an den Seitenwänden der Gate-Struktur (6, 102); Bilden einer Schicht epitaxialen Siliciums (9, 214) über den Finnen auf Abschnitten, die außerhalb der Gatestruktur und der Abstandshalter liegen; Bilden einer Silizidschicht (10, 216) auf dem epitaxialen Silizium (9, 214) und auf der Gate-Struktur (6, 102); Entfernen der Abstandshalter; und Verkapseln wenigstens eines Abschnitts des Multi-Gate-Feldeffekttransistors in einer Schicht dielektrischen Materials, derart, dass in Nachbarschaft zu den Seitenwänden der Gate-Struktur (6, 102) und unterhalb der Schicht des dielektrischen Materials ein Luftspalt (314) gebildet wird und somit der Luftspalt (314) zwischen den Seitenwänden der Gate-Struktur (6, 102) und gegenüberliegenden Seitenwänden der Silizidschicht (10, 216) angeordnet wird.
  12. Verfahren nach Anspruch 11, wobei das Verkapseln einen anfänglichen Schritt des Entfernens von Abstandhaltern von den Seitenwänden der Gate-Struktur (6, 102) beinhaltet, wobei der Luftspalt (314) in einem Bereich gebildet wird, aus welchem die Abstandhalter entfernt werden.
  13. Verfahren nach Anspruch 12, wobei die Abstandhalter eine Dicke von etwa 5 nm bis zu etwa 10 nm aufweisen und wobei das Verkapseln ein Abscheiden einer Schicht, die aus SiN oder Si3N4 besteht, unter Anwendung eines Verfahrens der plasmaunterstützten chemischen Abscheidung aus der Gasphase beinhaltet, welches bei einer Temperatur von etwa 350°C durchgeführt wird.
  14. Verfahren nach Anspruch 12, wobei die Abstandhalter unter Anwendung eines nasschemischen Ätzverfahrens entfernt werden.
  15. Verfahren nach Anspruch 11, wobei der Multi-Gate-Feldeffekttransistor ein FinFET ist.
DE102012217491.5A 2011-10-13 2012-09-26 Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor Active DE102012217491B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/272,409 2011-10-13
US13/272,409 US8637930B2 (en) 2011-10-13 2011-10-13 FinFET parasitic capacitance reduction using air gap

Publications (2)

Publication Number Publication Date
DE102012217491A1 DE102012217491A1 (de) 2013-04-18
DE102012217491B4 true DE102012217491B4 (de) 2024-05-02

Family

ID=47225676

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012217491.5A Active DE102012217491B4 (de) 2011-10-13 2012-09-26 Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor

Country Status (4)

Country Link
US (2) US8637930B2 (de)
CN (1) CN103050515B (de)
DE (1) DE102012217491B4 (de)
GB (1) GB2495606B (de)

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130039525A (ko) * 2011-10-12 2013-04-22 에스케이하이닉스 주식회사 다마신비트라인을 구비한 반도체장치 및 그 제조 방법
US8871626B2 (en) * 2011-12-20 2014-10-28 International Business Machines Corporation FinFET with vertical silicide structure
US20140201162A1 (en) 2013-01-11 2014-07-17 Commvault Systems, Inc. Systems and methods to restore selected files from block-level backup for virtual machines
KR20140094917A (ko) * 2013-01-23 2014-07-31 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8826213B1 (en) * 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
CN104167393B (zh) * 2013-05-17 2018-09-18 中国科学院微电子研究所 半导体器件制造方法
US9023697B2 (en) 2013-08-08 2015-05-05 International Business Machines Corporation 3D transistor channel mobility enhancement
CN104425594B (zh) * 2013-08-20 2018-07-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9391202B2 (en) 2013-09-24 2016-07-12 Samsung Electronics Co., Ltd. Semiconductor device
KR102200928B1 (ko) 2014-02-18 2021-01-11 삼성전자주식회사 낮은 기생 커패시턴스 성분을 갖는 트랜지스터와 이의 제조 방법
US9543407B2 (en) * 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
US9871121B2 (en) 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9318574B2 (en) 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
US9293523B2 (en) 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US9349649B2 (en) 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
KR102235614B1 (ko) 2014-09-17 2021-04-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9299835B1 (en) 2014-12-04 2016-03-29 International Business Machines Corporation Vertical field effect transistors
US9419091B1 (en) * 2015-02-04 2016-08-16 International Business Machines Corporation Trenched gate with sidewall airgap spacer
US9484250B2 (en) 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102365305B1 (ko) 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
EP3326206B1 (de) * 2015-07-17 2021-11-24 Intel Corporation Herstellungsverfahren eines transistors mit luftabstandhalter
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9911824B2 (en) 2015-09-18 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with multi spacer
US10622457B2 (en) 2015-10-09 2020-04-14 International Business Machines Corporation Forming replacement low-K spacer in tight pitch fin field effect transistors
US10181527B2 (en) 2015-10-16 2019-01-15 Samsung Electronics Co., Ltd. FinFet having dual vertical spacer and method of manufacturing the same
US9530890B1 (en) 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US9536982B1 (en) * 2015-11-03 2017-01-03 International Business Machines Corporation Etch stop for airgap protection
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9368572B1 (en) 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US10256296B2 (en) 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
US9786737B2 (en) * 2015-12-03 2017-10-10 International Business Machines Corporation FinFET with reduced parasitic capacitance
US9716154B2 (en) * 2015-12-17 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having a gas-filled gap
US10164029B2 (en) * 2015-12-18 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9805935B2 (en) 2015-12-31 2017-10-31 International Business Machines Corporation Bottom source/drain silicidation for vertical field-effect transistor (FET)
TWI663729B (zh) * 2016-01-05 2019-06-21 聯華電子股份有限公司 半導體結構及其製造方法
US10388564B2 (en) * 2016-01-12 2019-08-20 Micron Technology, Inc. Method for fabricating a memory device having two contacts
US9935199B2 (en) * 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US9443982B1 (en) 2016-02-08 2016-09-13 International Business Machines Corporation Vertical transistor with air gap spacers
US9673293B1 (en) 2016-02-18 2017-06-06 International Business Machines Corporation Airgap spacers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017151148A1 (en) * 2016-03-04 2017-09-08 Intel Corporation Field effect transistors with a gated oxide semiconductor source/drain spacer
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
KR102384818B1 (ko) 2016-04-25 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US9865738B2 (en) * 2016-04-29 2018-01-09 Samsung Electronics Co., Ltd. Fin field effect transistor (FinFET) having air gap and method of fabricating the same
US9735246B1 (en) 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9748380B1 (en) * 2016-06-29 2017-08-29 International Business Machines Corporation Vertical transistor including a bottom source/drain region, a gate structure, and an air gap formed between the bottom source/drain region and the gate structure
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US9911804B1 (en) 2016-08-22 2018-03-06 International Business Machines Corporation Vertical fin field effect transistor with air gap spacers
US9721897B1 (en) 2016-09-27 2017-08-01 International Business Machines Corporation Transistor with air spacer and self-aligned contact
US9941352B1 (en) 2016-10-05 2018-04-10 International Business Machines Corporation Transistor with improved air spacer
US9985109B2 (en) 2016-10-25 2018-05-29 International Business Machines Corporation FinFET with reduced parasitic capacitance
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10535652B2 (en) 2016-10-27 2020-01-14 International Business Machines Corporation Fabrication of vertical fin field effect transistors having top air spacers and a self-aligned top junction
US9960275B1 (en) 2016-10-28 2018-05-01 Applied Materials, Inc. Method of fabricating air-gap spacer for N7/N5 finFET and beyond
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10446653B2 (en) * 2016-11-15 2019-10-15 Globalfoundries Inc. Transistor-based semiconductor device with air-gap spacers and gate contact over active area
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108122973B (zh) * 2016-11-28 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
US10319627B2 (en) * 2016-12-13 2019-06-11 Globalfoundries Inc. Air-gap spacers for field-effect transistors
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10211100B2 (en) * 2017-03-27 2019-02-19 Globalfoundries Inc. Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor
US10199503B2 (en) 2017-04-24 2019-02-05 International Business Machines Corporation Under-channel gate transistors
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
EP3404702A1 (de) * 2017-05-15 2018-11-21 IMEC vzw Verfahren zur herstellung vertikaler kanalvorrichtungen
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216357B (zh) * 2017-06-30 2021-04-20 联华电子股份有限公司 半导体结构及其制作方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10510860B2 (en) 2017-08-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
DE102017126049B4 (de) * 2017-08-29 2024-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10411107B2 (en) 2017-09-01 2019-09-10 Globalfoundries Inc. Semiconductor device with airgap spacer for transistor and related method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
CN109599337A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10319833B1 (en) 2017-12-04 2019-06-11 International Business Machines Corporation Vertical transport field-effect transistor including air-gap top spacer
US10229986B1 (en) 2017-12-04 2019-03-12 International Business Machines Corporation Vertical transport field-effect transistor including dual layer top spacer
US10411114B2 (en) 2017-12-21 2019-09-10 International Business Machines Corporation Air gap spacer with wrap-around etch stop layer under gate spacer
US10347744B1 (en) 2018-01-09 2019-07-09 International Business Machines Corporation Method and structure of forming FinFET contact
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US10367076B1 (en) 2018-01-31 2019-07-30 International Business Machines Corporation Air gap spacer with controlled air gap height
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10388747B1 (en) 2018-03-28 2019-08-20 Globalfoundries Inc. Gate contact structure positioned above an active region with air gaps positioned adjacent the gate structure
CN110400751B (zh) * 2018-04-25 2024-04-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10522649B2 (en) 2018-04-27 2019-12-31 International Business Machines Corporation Inverse T-shaped contact structures having air gap spacers
US10861953B2 (en) * 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10833165B2 (en) 2018-04-30 2020-11-10 International Business Machines Corporation Asymmetric air spacer gate-controlled device with reduced parasitic capacitance
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10608096B2 (en) 2018-06-11 2020-03-31 International Business Machines Corporation Formation of air gap spacers for reducing parasitic capacitance
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10535771B1 (en) 2018-06-25 2020-01-14 Globalfoundries Inc. Method for forming replacement air gap
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10854503B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10950692B2 (en) * 2018-09-04 2021-03-16 Globalfoundries U.S. Inc. Methods of forming air gaps between source/drain contacts and the resulting devices
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10580692B1 (en) 2018-09-12 2020-03-03 International Business Machines Corporation Integration of air spacer with self-aligned contact in transistor
US10680102B2 (en) 2018-09-27 2020-06-09 International Business Machines Corporation Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10692987B2 (en) * 2018-10-19 2020-06-23 Globalfoundries Inc. IC structure with air gap adjacent to gate structure and methods of forming same
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10886378B2 (en) 2019-01-02 2021-01-05 Globalfoundries Inc. Method of forming air-gap spacers and gate contact over active region and the resulting device
US10840351B2 (en) * 2019-01-03 2020-11-17 International Business Machines Corporation Transistor with airgap spacer and tight gate pitch
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11798838B2 (en) 2019-03-19 2023-10-24 Intel Corporation Capacitance reduction for semiconductor devices based on wafer bonding
US10903331B2 (en) 2019-03-25 2021-01-26 International Business Machines Corporation Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US10978574B2 (en) 2019-07-08 2021-04-13 International Business Machines Corporation Floating gate prevention and capacitance reduction in semiconductor devices
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11145540B2 (en) * 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
US11038038B2 (en) * 2019-08-13 2021-06-15 Micron Technology, Inc. Transistors and methods of forming transistors
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11282920B2 (en) * 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
DE102019133935B4 (de) * 2019-09-30 2022-11-03 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum ausbilden von transistorabstandshal-terstrukturen
KR20210038762A (ko) 2019-09-30 2021-04-08 삼성전자주식회사 반도체 장치
US11532561B2 (en) 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
CN112635560B (zh) 2019-10-08 2023-12-05 联华电子股份有限公司 鳍状晶体管结构及其制造方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11201229B2 (en) * 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TWI717970B (zh) * 2020-01-14 2021-02-01 華邦電子股份有限公司 半導體結構以及其形成方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11417750B2 (en) 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
CN113257823B (zh) * 2020-02-10 2023-09-26 华邦电子股份有限公司 半导体结构以及其形成方法
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11101177B1 (en) 2020-02-19 2021-08-24 Winbond Electronics Corp. Semiconductor structure and method for forming the same
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11404537B2 (en) * 2020-04-17 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with air-void in spacer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11935931B2 (en) 2020-06-06 2024-03-19 International Business Machines Corporation Selective shrink for contact trench
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220231023A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet device and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010045608A1 (en) 1999-12-29 2001-11-29 Hua-Chou Tseng Transister with a buffer layer and raised source/drain regions
US20090253255A1 (en) 2006-11-15 2009-10-08 Kim Won-Joo Semiconductor device having a pair of fins and method of manufacturing the same
US20100258870A1 (en) 2009-04-14 2010-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US7838373B2 (en) 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
US20110049583A1 (en) 2009-08-28 2011-03-03 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US20110065244A1 (en) 2009-09-15 2011-03-17 International Business Machines Corporation Asymmetric finfet device with improved parasitic resistance and capacitance
US20110084340A1 (en) 2009-10-14 2011-04-14 Feng Yuan Voids in STI Regions for Forming Bulk FinFETs
CN102214595A (zh) 2011-05-26 2011-10-12 北京大学 一种空气为侧墙的围栅硅纳米线晶体管的制备方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413823B2 (ja) * 1996-03-07 2003-06-09 日本電気株式会社 半導体装置及びその製造方法
US6693335B2 (en) * 1998-09-01 2004-02-17 Micron Technology, Inc. Semiconductor raised source-drain structure
US6833588B2 (en) * 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US7045849B2 (en) * 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US6894326B2 (en) 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US7132342B1 (en) * 2004-12-03 2006-11-07 National Semiconductor Corporation Method of reducing fringing capacitance in a MOSFET
EP1835530A3 (de) * 2006-03-17 2009-01-28 Samsung Electronics Co., Ltd. Nichtflüchtige Speichervorrichtung und Verfahren zu deren Herstellung
KR101177282B1 (ko) * 2006-03-24 2012-08-24 삼성전자주식회사 반도체 메모리 소자의 제조 방법

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010045608A1 (en) 1999-12-29 2001-11-29 Hua-Chou Tseng Transister with a buffer layer and raised source/drain regions
US20090253255A1 (en) 2006-11-15 2009-10-08 Kim Won-Joo Semiconductor device having a pair of fins and method of manufacturing the same
US7838373B2 (en) 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
US20100258870A1 (en) 2009-04-14 2010-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110049583A1 (en) 2009-08-28 2011-03-03 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US20110065244A1 (en) 2009-09-15 2011-03-17 International Business Machines Corporation Asymmetric finfet device with improved parasitic resistance and capacitance
US20110084340A1 (en) 2009-10-14 2011-04-14 Feng Yuan Voids in STI Regions for Forming Bulk FinFETs
CN102214595A (zh) 2011-05-26 2011-10-12 北京大学 一种空气为侧墙的围栅硅纳米线晶体管的制备方法

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
„Impact of Fringe Capacitance on Performance of Nanoscale FinFETs", C. R. Manoj u.a., IEEE Electron Device Letters, Bd. 31, S. 83 bis 85
„Simulation Study of Multiple FIN FinFET Device Design für 32nm Technology Node and Beyond", X. Wang u.a., Simulation of Semiconductor Process and Device, Bd. 12, Seite 125 bis 128
ITRS (International Technology Roadmap For Semiconductors). In: Process Integration, Devices and Structures, 2011, S.1 - 37.
ITRS: International Technology Roadmap For Semiconductors. In: Process, Integration Devices and Structures, 2011, 1 - 37
MANOJ, C.R.: Impact of Fringe Capacitance on Performance of Nanoscale FinFETs. In: IEEE Electron Device Letters, Vol. 31, 2010, No.1, S. 83 - 85.
WANG, X [et al.]: Simulation Study of Multiple FIN FinFET Design für 32nm Technology Node and Beyond. In: Simulation of Semiconductor Processes and Devices, Vol. 12, 2007, S.125 - 128.
X. Wang et al: Simulation Study of Multiple FIN FinFET Device Design für 32nm Technology Node and Beyond. In: Simulation of Semiconductor Process and Device, 12, 2007, 125 - 128.; C. R. Manoj: Impact of Fringe Capacitance on Performance of Nanoscale FinFETs. In: IEEE Electron Device Letters, 31, 2010, 83 - 85.

Also Published As

Publication number Publication date
CN103050515A (zh) 2013-04-17
US20130093019A1 (en) 2013-04-18
GB201217771D0 (en) 2012-11-14
US20130095629A1 (en) 2013-04-18
GB2495606A (en) 2013-04-17
GB2495606B (en) 2015-12-16
DE102012217491A1 (de) 2013-04-18
CN103050515B (zh) 2016-04-13
US8637930B2 (en) 2014-01-28
US8637384B2 (en) 2014-01-28

Similar Documents

Publication Publication Date Title
DE102012217491B4 (de) Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102015108690B4 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102012214077B4 (de) Verfahren zum Bilden einer integrierten Schaltung
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE102016203640B4 (de) Bildung eines Kontakts mit Luft-Zwischenraum zur Reduzierung einer parasitären Kapazität
DE112012004932B4 (de) Verfahren zur Herstellung eines SOI-FinFET mit vertieften verschmolzenen Rippen und Schicht zur verbesserten Spannungskopplung
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE112004000578B4 (de) Verfahren zur Herstellung eines Gates in einem FinFET-Bauelement und Dünnen eines Stegs in einem Kanalgebiet des FinFET-Bauelements
DE102017103674B4 (de) Halbleitervorrichtung mit mehrschicht-kanalstrukturund verfahren zur herstellung
DE102019205650A1 (de) Nanosheet-Feldeffekttransistor mit einem zweidimensionalen halbleitenden Material
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102014108309B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit ausgerichteten Kontaktstöpseln und Halbleitervorrichtung
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE102017117809B3 (de) Ausbilden eines transistors durch selektives aufwachsen eines gatespacers
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE112020000199T5 (de) Transistorkanal mit Vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102019118385A1 (de) Halbleitervorrichtung und Verfahren
DE102018206437B4 (de) Fin-Fertigungsprozess mit dualer Flachgrabenisolation und einstellbarem Profil für Innen- und Außenfinnen
DE102015102807B4 (de) Halbleitervorrichtung, die eine halbleiter-platteneinheit umfasst, die eine source und einen drain verbindet
DE102019206553A1 (de) Halbleitervorrichtung mit verbesserter Gate-Source/Drain-Metallisierungsisolation

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division