DE102019205650A1 - Nanosheet-Feldeffekttransistor mit einem zweidimensionalen halbleitenden Material - Google Patents

Nanosheet-Feldeffekttransistor mit einem zweidimensionalen halbleitenden Material Download PDF

Info

Publication number
DE102019205650A1
DE102019205650A1 DE102019205650.4A DE102019205650A DE102019205650A1 DE 102019205650 A1 DE102019205650 A1 DE 102019205650A1 DE 102019205650 A DE102019205650 A DE 102019205650A DE 102019205650 A1 DE102019205650 A1 DE 102019205650A1
Authority
DE
Germany
Prior art keywords
semiconductive material
dimensional
layer
channel layers
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019205650.4A
Other languages
English (en)
Other versions
DE102019205650B4 (de
Inventor
Julien Frougier
Ruilong Xie
Nicolas Loubet
Kangguo Cheng
Juntao Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019205650A1 publication Critical patent/DE102019205650A1/de
Application granted granted Critical
Publication of DE102019205650B4 publication Critical patent/DE102019205650B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/041Making n- or p-doped regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/0425Making electrodes
    • H01L21/044Conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • H01L29/66037Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66045Field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Strukturen für einen Feldeffekttransistor und Verfahren zum Bilden von Strukturen für einen Feldeffekttransistor. In einem Schichtstapel ist eine Mehrzahl von Kanalschichten angeordnet und ein Source/Drain-Bereich ist mit der Vielzahl von Kanalschichten verbunden. Eine Gatestruktur umfasst eine Mehrzahl von Abschnitten, die jeweils die Mehrzahl von Kanalschichten umgeben. Die Mehrzahl von Kanalschichten umfasst ein zweidimensionales halbleitendes Material.

Description

  • Hintergrund
  • Die vorliegende Erfindung betrifft die Herstellung von Halbleitervorrichtungen und integrierten Schaltungen und insbesondere Strukturen für einen Feldeffekttransistor und Verfahren zum Bilden einer Struktur für einen Feldeffekttransistor.
  • Vorrichtungsstrukturen für einen Feldeffekttransistor umfassen im Allgemeinen ein Source, ein Drain und eine Gateelektrode, die so konfiguriert ist, dass sie den Trägerfluss in einem Kanal schaltet, der in einem zwischen Source und Drain angeordneten Halbleiterkörper gebildet ist. Der Halbleiterkörper und der Kanal eines planaren Feldeffekttransistors sind unterhalb der oberen Oberfläche eines Substrats angeordnet, auf dem die Gateelektrode gelagert ist. Wenn eine Steuerspannung, die eine bestimmte Schwellspannung überschreitet, an die Gateelektrode angelegt wird, erzeugt der Fluss der Träger im Kanal einen Ausgangsstrom der Vorrichtung.
  • Nanosheet-Feldeffekttransistoren wurden als eine Art von nichtplanarem Feldeffekttransistor entwickelt, der eine zusätzliche Erhöhung der Packungsdichte in einer integrierten Schaltung ermöglichen kann. Der Körper eines Nanosheet-Feldeffekttransistors umfasst mehrere Nanosheet-Kanalschichten, die in einem Schichtstapel angeordnet sind. Die Nanosheet-Kanalschichten sind anfänglich in einem Schichtstapel mit Opferschichten angeordnet, die ein Material (z. B. Silizium-Germanium) umfassen, das bezüglich dem Material (z. B. Silizium) selektiv geätzt werden kann, das die Nanosheet-Kanalschichten bildet. Die Opferschichten werden geätzt und entfernt, um die Nanosheet-Kanalschichten freizulegen und Räume für die Bildung eines Gatestapels bereitzustellen. In einer Gate-All-Around-Anordnung können Abschnitte des Gatestapels alle Seiten der einzelnen Nanosheet-Kanalschichten umgeben.
  • Nanosheet-Feldeffekttransistoren können beim Skalieren auf Schwierigkeiten stoßen, da dünner werdende Kanalschichten von Nanosheeten aus Silizium eine Dicke erreichen können, bei der einschränkende Quanteneffekte die Leistung beeinträchtigen. Außerdem können Kurzkanaleffekte das Vermögen einschränken, die Gatelänge weiter zu verkleinern. Daher können Grenzen gegenüber der elektrostatischen Steuerung für Nanosheet-Feldeffekttransistoren mit Kanalschichten aus Nanosheeten von Silizium die Skalierung begrenzen.
  • Zusammenfassung
  • In Ausführungsformen der Erfindung wird eine Struktur für einen Feldeffekttransistor bereitgestellt. Die Struktur umfasst eine Mehrzahl von Kanalschichten, die in einem Schichtstapel angeordnet sind, einen Source/Drain-Bereich, der mit der Mehrzahl von Kanalschichten verbunden ist, und eine Gatestruktur mit einer Mehrzahl von Abschnitten, die jeweils die Mehrzahl von Kanalschichten umgeben. Die Mehrzahl von Kanalschichten besteht aus einem zweidimensionalen halbleitenden Material.
  • In Ausführungsformen der Erfindung wird ein Verfahren zum Bilden eines Feldeffekttransistors bereitgestellt. Das Verfahren umfasst ein Bilden einer Mehrzahl von Nanosheet-Kanalschichten, die in einem Schichtstapel angeordnet sind, und das Ausbilden einer Gatestruktur mit einer Mehrzahl von Abschnitten, die jeweils die Mehrzahl von Nanosheet-Kanalschichten umgeben. Nach dem Bilden der Gatestruktur werden die mehreren Nanosheet-Kanalschichten entfernt, um mehrere Räume zwischen den mehreren Abschnitten der Gatestruktur zu bilden. Das Verfahren umfasst ferner das Abscheiden eines zweidimensionalen halbleitenden Materials in den mehreren Räumen zwischen den mehreren Abschnitten der Gatestruktur, um mehrere Austauschkanalschichten zu bilden.
  • Figurenliste
  • Die beigefügten Zeichnungen, die von dieser Beschreibung umfasst werden und einen Teil davon bilden, veranschaulichen verschiedene Ausführungsformen der Erfindung und dienen zusammen mit einer oben gegebenen allgemeinen Beschreibung und der unten angegebenen ausführlichen Beschreibung zur Erläuterung der Ausführungsformen der Erfindung.
    • 1 ist eine Draufsicht auf eine Vorrichtungsstruktur in einer anfänglichen Fertigungsphase des Verarbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 2 ist eine Querschnittsansicht im Allgemeinen entlang der Linie 2-2 in 1.
    • 2A ist eine Querschnittsansicht, die allgemein entlang der Linie 2A-2A in 1 aufgenommen ist.
    • 3 - 11 sind Querschnittsansichten der Vorrichtungsstruktur in aufeinanderfolgenden Fertigungsphasen des Verarbeitungsverfahrens nach 2.
    • 6A ist eine vergrößerte Ansicht eines Abschnitts von 6.
    • 9A ist eine vergrößerte Ansicht eines Abschnitts von 9.
    • 9B ist eine vergrößerte Ansicht ähnlich zu 9A einer Vorrichtungsstruktur, die durch ein Verarbeitungsverfahren gemäß alternativen Ausführungsformen der Erfindung hergestellt wurde.
    • 9C ist eine vergrößerte Ansicht ähnlich zu 9A einer Vorrichtungsstruktur, die durch ein Verarbeitungsverfahren gemäß alternativen Ausführungsformen der Erfindung hergestellt wurde.
  • Detaillierte Beschreibung
  • Mit Bezug auf die 1, 2, 2A und gemäß Ausführungsformen der Erfindung sind Nanosheet-Kanalschichten 10 und Opferschichten 12 in einem strukturierten Schichtstapel 16 auf einem Substrat 14 angeordnet. Das Substrat 14 kann aus einem Halbleitermaterial gebildet sein, wie z. B. kristallines Silizium. Die Nanosheet-Kanalschichten 10 und Opferschichten 12 können auf dem Substrat 14 durch einen epitaktischen Wachstumsprozess gebildet werden, während dem sich die Zusammensetzung abwechselt, während die Schichten 10, 12 gebildet werden, und sie können unter Verwendung eines Lithographie- und Ätzprozesses zur Bildung des Schichtstapels 16 strukturiert werden. Die Anzahl der Nanosheet-Kanalschichten 10 und der Opferschichten 12 im Schichtstapel 16 kann sich von der Anzahl in der dargestellten repräsentativen Ausführungsform unterscheiden und kann insbesondere durch Hinzufügen von Paaren von Nanosheet-Kanalschichten 10 und Opferschichten 12 zu dem strukturierten Schichtstapel 16 größer sein als die Anzahl in der repräsentativen Ausführungsform. Die Nanosheet-Kanalschichten 10 und Opferschichten 12 können verwendet werden, um einen Nanosheet-Feldeffekttransistor eines gegebenen Leitfähigkeitstyps zu bilden, wie z. B. einen Nanosheet-Feldeffekttransistor vom p-Typ oder einen Nanosheet-Feldeffekttransistor vom n-Typ.
  • Die Nanosheet-Kanalschichten 10 sind aus einem Halbleitermaterial gebildet und die Opferschichten 12 sind aus einem Halbleitermaterial mit einer Zusammensetzung gebildet, die so ausgewählt ist, dass sie bezüglich dem Halbleitermaterial der Nanosheet-Kanalschichten 10 selektiv entfernt wird. Wie hierin verwendet bezeichnet der Begriff „selektiv“ in Bezug auf einen Materialentfernungsprozess (z. B. Ätzen), dass bei einer geeigneten Wahl des Ätzmittels die Materialentfernungsrate (d. h. Ätzrate) für das Zielmaterial mindestens größer ist als die Entfernungsrate für ein anderes Material, das dem Materialentfernungsprozess ausgesetzt ist. Die jeweiligen Zusammensetzungen der Schichten 10, 12 werden während des epitaktischen Wachstums ausgewählt. In einer Ausführungsform kann das Halbleitermaterial, das die Nanosheet-Kanalschichten 10 bildet, Silizium (Si) sein, und das Halbleitermaterial, das die Opferschichten 12 bildet, kann Silizium-Germanium (SiGe) sein, das aufgrund des Germanium-Gehalts mit einer höheren Geschwindigkeit als Silizium ätzt. In einer Ausführungsform kann der Germaniumgehalt der Opferschichten 12 von zwanzig Prozent (25%) bis fünfunddreißig Prozent (35%) reichen.
  • Die Nanosheet-Kanalschichten 10 sind dünner als die Opferschichten 12. In dieser Hinsicht ist die Dicke t1 der Nanosheet-Kanalschichten 10 geringer als die Dicke t2 der Opferschichten 12. Anders als bei herkömmlichen Konstruktionen für einen Nanosheet-Feldeffekttransistor sind die Nanosheet-Kanalschichten 10 Opferschichten und sind daher in der fertigen Vorrichtungsstruktur nicht vorhanden. Die verringerte Dicke der Nanosheet-Kanalschichten 10 fördert ihre Ersetzung durch Schichten aus einem halbleitenden Material, die dünner sind als die Nanosheet-Kanalschichten 10 in herkömmlichen Nanosheet-Feldeffekttransistoren.
  • Unter dem strukturierten Schichtstapel 16 ist eine dielektrische Schicht 18 angeordnet, so dass der Schichtstapel 16 von dem Substrat 14 elektrisch isoliert ist. Dielektrische Materialien, die für die dielektrische Schicht 18 geeignet sind, umfassen Siliziumdioxid (SiO2), jedoch ohne Beschränkung, SiBCN, SiOC und SiOCN. Das dielektrische Material der dielektrischen Schicht 18 kann eine Opferschicht (nicht gezeigt) ersetzen, die anfänglich zwischen dem Schichtstapel 16 und dem Substrat 14 nach einem epitaktischen Wachstum angeordnet ist. Flachgrabenisolationsbereiche 19 sind in dem Substrat 14 um den strukturierten Schichtstapel 16 herum angeordnet und können durch eine Technik der Flachgrabenisolation (STI) gebildet werden. Alternativ kann anstelle davon, dass das Substrat 14 ein Bulk-Substrat ist, das Substrat 14 ein Silizium-auf-Isolator-Substrat (SOI-Substrat) sein und der strukturierte Schichtstapel 16 kann, ohne dass Flachgrabenisolationen 19 notwendig sind oder dass es erforderlich ist, dass die dielektrische Schicht 18 gebildet wird, direkt auf der vergrabenen Oxidschicht des Substrats 14 angeordnet sein.
  • Es werden Opfergatestrukturen 20, 21 gebildet, die sich mit dem strukturierten Schichtstapel 16 überlappen und um diese wickeln. Die Opfergatestrukturen 20, 21 weisen eine beabstandete Anordnung entlang der Länge des Schichtstapels 16 auf und sind quer zu dem Schichtstapel 16 ausgerichtet. Die Opfergatestrukturen 20, 21 können eine an den strukturierten Schichtstapel 16 angrenzende dünne Oxidschicht und eine dickere Schicht umfassen, die ein Opfermaterial wie amorphes Silizium umfasst. Die Opfergatestrukturen 20, 21 werden aus diesen konstituierenden Schichten durch ein reaktives lonenätzen (RIE) unter Verwendung einer Hartmaske strukturiert. Die Opfergatestrukturen 20, 21 können entlang ihrer Länge geschnitten werden, um die Positionen einzelner Feldeffekttransistoren zu definieren, und/oder die Opfergatestrukturen 20, 21 können sich mit zusätzlichen Schichtstapeln überlappen, die dem Schichtstapel 16 ähnlich sind. Die Opfergatestrukturen 20, 21 sind von einer Hartmaskenkappe 22 bedeckt, die an ihren jeweiligen oberen Oberflächen angeordnet ist. Die Hartmaskenabdeckung 22 kann wenigstens ein dielektrisches Material umfassen, wie beispielsweise eine mehrlagige Kombination aus Siliziumdioxid und Siliziumnitrid, und kann einen Rest der Hartmaske aus dem Lithographie- und Ätzprozess darstellen, der verwendet wird, um die Opfergatestrukturen 20, 21 zu bilden.
  • An den Seitenwänden der Opfergatestrukturen 20, 21 sind Seitenwandabstandshalter 24 gebildet. Die Seitenwandabstandshalter 24 können durch ein Abscheiden einer konformen Schicht aus einem dielektrischen Material, wie etwa SiBCN, und ein Ätzen der konformen Schicht mit einem gerichteten Ätzprozess gebildet werden, wie z. B. ein reaktives lonenätzen (RIE).
  • Mit Bezug auf 3, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 2 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird der Schichtstapel 16 strukturiert, um Körpermerkmale 26, 27 zu bilden, die jeweils einen Schichtstapel aus strukturierten Nanosheet-Kanalschichten 10 und Opferschichten 12 umfassen. Der Schichtstapel 16 kann durch einen selbstausgerichteten Ätzprozess strukturiert werden, in dem die jeweiligen Opfergatestrukturen 20, 21 als eine Ätzmaske fungieren. Der selbstausgerichtete Ätzprozess, der ein reaktiver lonenätzprozess (RIE-Prozess) sein kann, kann wenigstens eine Ätzchemie verwendet werden, um den Schichtstapel 16 zu ätzen. Das Strukturieren entfernt vollständig den Schichtstapel 16 von Bereichen, die sich neben den Körpermerkmalen 26, 27 und Opfergatestrukturen 20,21 befinden. Die gestapelte Anordnung des Körpermerkmals 26 und der Opfergatestruktur 20 ist von der gestapelten Anordnung des Körpermerkmals 27 und der Opfergatestruktur 21 durch einen Spalt getrennt.
  • Nach der Bildung der Körpermerkmale 26, 27 werden die Opferschichten 12 relativ zu den Nanosheet-Kanalschichten 10 mit einem trockenen oder nassen isotropen Ätzprozess seitlich ausgespart, der das Halbleitermaterial, das die Opferschichten 12 bildet, selektiv bezüglich dem Halbleitermaterial ätzt, das die Nanosheet-Kanalschichten 10 bildet. Das seitliche Aussparen der Opferschichten 12 erzeugt Vertiefungen in den Seitenwänden der Körpermerkmale 26, 27, da die Nanosheet-Kanalschichten 10 aufgrund der Ätzselektivität des isotropen Ätzprozesses nicht seitlich ausgespart werden.
  • Anschließend werden innere Abstandshalter 30 in den Vertiefungen neben den ausgesparten Enden der Opferschichten 12 gebildet. Die inneren Abstandshalter 30 können durch Abscheiden einer konformen Schicht 32 gebildet werden, die aus einem dielektrischen Material gebildet ist, wie Siliziumnitrid (Si3N4) durch eine Atomlagenabscheidung (ALD), das die Vertiefungen in den Seitenwänden der Körpermerkmale 26, 27 durch Abschnürung füllt. Die konforme Schicht 32 bedeckt die dielektrische Schicht 18, die Opfergatestruktur 20, 21 und ihre Hartmaskenkappen 22 und die Körpermerkmale 26, 27. Im Gegensatz zu einem herkömmlichen Prozessablauf wird die konforme Schicht 32 jedoch nicht geätzt und außerhalb der Vertiefungen entfernt.
  • Unter Bezugnahme auf 4, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 3 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird eine dielektrische Zwischenschicht 34 abgeschieden und mittels chemisch-mechanischem Polieren (CMP) planarisiert. Die dielektrische Zwischenschicht 34 kann aus einem dielektrischen Material gebildet sein, wie Siliziumdioxid (SiO2). Ein Abschnitt der dielektrischen Zwischenschicht 34 befindet sich in dem Spalt, der die Opfergatestruktur 20 und das Körpermerkmal 26 von der Opfergatestruktur 21 und dem Körpermerkmal 27 trennt. Das Planarisieren kann auch die Hartmaskenkappen 22 von den Opfergatestrukturen 20, 21 entfernen und dadurch die Opfergatestrukturen 20, 21 freilegen.
  • Unter Bezugnahme auf 5, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 4 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden das Opfermaterial und die dünne Oxidschicht der Opfergatestrukturen 20, 21 mit wenigstens einem Ätzprozess entfernt. Die Opferschichten 12 werden anschließend mit einem Ätzprozess entfernt, der das Material der Opferschichten 12 bezüglich den Materialien der Nanosheet-Kanalschichten 10 und der inneren Abstandshalter 30 selektiv entfernt. Der Ätzprozess kann zum Beispiel ein nasses SC1-Ätzen oder ein trockenes Dampfphasen-HCI-Ätzen sein. Das Entfernen der Opferschichten 12 setzt die Nanosheet-Kanalschichten 10 frei und öffnet Räume, die die Nanosheet-Kanalschichten 10 jedes der Körpermerkmale 26, 27 umgeben. Die Nanosheet-Kanalschichten 10 sind an gegenüberliegenden Enden durch die inneren Abstandshalter 30 verankert.
  • Mit Bezug auf die 6, 6A, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in 5 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden nach dem Entfernen der Opfergatestrukturen 20 und dem Entfernen der Opferschichten 12 zum Freilegen der Nanosheet-Kanalschichten 10 der Körpermerkmale 26, 27 die Gatestrukturen 36, 38 als Teil von einem Austausch-Gate-Prozess zum Herstellen eines Multi-Gate-Nanosheet-Feldeffekttransistors gebildet. Jede der Gatestrukturen 36, 38 kann aus einem Gatestapel gebildet sein, der eine Grenzflächenschicht 35, eine Gatedielektrikumsschicht 37 und eine Metallgateelektrode 39 umfasst. Die Grenzflächenschicht 35 bedeckt die äußeren Oberflächen der Nanosheet-Kanalschichten 10 und die Gatedielektrikumsschicht 37 ist in dem Gatestapel zwischen der Metallgateelektrode 39 und der Schnittstellenschicht 35 angeordnet. Abschnitte der Gatestruktur 36 und Abschnitte der Gatestruktur 38 befinden sich in den Räumen, die zuvor von den entfernten Opferschichten 12 eingenommen wurden. Diese Abschnitte der Gatestrukturen 36, 38 umgeben jeweilige Außenflächen der Nanosheet-Kanalschichten 10 der verschiedenen Körpermerkmale 26, 27 in einer Gate-All-Around-Anordnung (GAA). Selbstausgerichtete Kontaktkappen (SAC) 40, die aus einem dielektrischen Material gebildet sind, wie etwa Siliziumnitrid (Si3N4), sind in den Räumen zwischen den Seitenwandabstandshaltern 24 über jeder der Gatestrukturen 36, 38 gebildet.
  • Die Grenzflächenschicht 35 kann aus einem dielektrischen Material gebildet sein, wie etwa einem Oxid von Silizium (z. B. Siliziumdioxid (SiO2)). Die Gatedielektrikumsschicht 37 kann aus einem dielektrischen Material gebildet sein, beispielsweise einem dielektrischen Material mit hoher Dielektrizitätskonstante, wie Hafniumoxid (HfO2). Die Metallgateelektrode 39 umfasst eine oder mehrere konforme Barrierenmetallschichten und/oder Austrittsarbeitsfunktionsschichten, wie beispielsweise Schichten aus Titanaluminiumkarbid (TiAIC) und/oder Titannitrid (TiN), und eine Metallgatefüllschicht aus einem Leiter, wie Wolfram (W). Die Metallgateelektrode 39 kann verschiedene Kombinationen der konformen Barrierenmetallschichten und/oder Austrittsarbeitsmetallschichten umfassen. Beispielsweise kann die Metallgateelektrode 39 konforme Austrittsarbeitsfunktionsschichten aufweisen, die für einen Nanosheet-Feldeffekttransistor vom p-Typ charakteristisch sind. Als ein anderes Beispiel kann die Metallgateelektrode 39 Metallschichten mit konformer Austrittsarbeit aufweisen, die für einen Nanosheet-Feldeffekttransistor vom n-Typ charakteristisch sind.
  • Mit Bezug auf 7, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 6 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens wird die dielektrische Zwischenschicht 34 mit einem Ätzprozess entfernt, der die konforme Schicht 32 freilegt. Die freiliegende konforme Schicht 32 wird mit einem Ätzprozess entfernt, beispielsweise einem nasschemischen Ätzprozess unter Verwendung einer erhitzten Lösung, die Phosphorsäure (H3PO4) umfasst, wobei die inneren Abstandshalter 30 die Vertiefungen in den Seitenwänden der Körpermerkmale 26, 27 füllen. Durch das Entfernen der konformen Schicht 32 werden die äußeren Oberflächen der Nanosheet-Kanalschichten 10 freigelegt.
  • Mit Bezug auf 8, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 7 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden die Nanosheet-Kanalschichten 10 mit einem Ätzprozess entfernt, wodurch die Nanosheet-Kanalschichten 10 bezüglich dem Material der Grenzflächenschicht 35 der Gatestrukturen 36, 38 entfernt werden. Der Ätzprozess kann ein entfernter durch Plasma unterstützter Trockenätzprozess (z. B. ein Frontier-Ätzen) sein, der die Nanosheet-Kanalschichten 10 Radikalen (d. h. ungeladenen oder neutralen Atome) aussetzt, die aus einem Gasgemisch aus Stickstofftrifluorid (NF3) und Wasserstoff erzeugt werden (H2). Die Entfernung der Nanosheet-Kanalschichten 10 bildet Räume 42, die zwischen den Abschnitten der Gatestrukturen 36, 38 angeordnet sind. Die Räume 42 weisen eine Höhe auf, die gleich der Dicke der Nanosheet-Kanalschichten 10 ist, die entfernt wurden. In einer Ausführungsform kann die Höhe der Räume 42 in einer Größenordnung von einem (1) Nanometer bis drei (3) Nanometern liegen.
  • Mit Bezug auf die 9, 9A, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in 8 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens wird ein zweidimensionales (2D) halbleitendes Material konform abgeschieden, das die Räume 42 zumindest teilweise füllt, um Austauschkanalschichten 44 zu bilden, die von den Abschnitten der Gatestrukturen 36, 38 umgeben sind und die eine durchgehende Schicht 46 bildet, die sich um die Seitenwandabstandshalter 24, die inneren Abstandshalter 30 und die Kappen 40 wickelt und sich auf der dielektrischen Schicht 18 bildet. Die Austauschkanalschichten 44 umfassen Abschnitte des zweidimensionalen halbleitenden Materials, die in den Räumen 42 auf der Grenzflächenschicht 35 der Gatestrukturen 36, 38 abgeschieden werden. In einer Ausführungsform füllt das halbleitende 2D-Material die Zwischenräume 42 vollständig aus, um die Austauschkanalschichten 44 zu bilden, so dass die Austauschkanalschichten 44 eine Dicke haben, die gleich ist die Höhe der Räume 42, die in der Größenordnung von einem (1) Nanometer bis drei (3) Nanometern liegt.
  • Das zweidimensionale halbleitende Material kann eine dünne konforme Beschichtung sein, die beispielsweise durch eine Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD) abgeschieden wird, vorzugsweise bei einer Temperatur von weniger als 500°C (z. B. im Bereich von 450°C bis 500°C), um eine Metalldiffusion in den Gatestrukturen 36, 38 zu vermeiden. In einer Ausführungsform kann das zweidimensionale halbleitende Material aus einem Übergangsmetall-Dichalcogenid bestehen, das ein Übergangsmetall (z. B. Molybdän (Mo) oder Wolfram (W)) und ein Chalkogenatom (Schwefel (S), Selen (Se) oder Tellur (Te)) umfasst. Beispielhafte Übergangsmetall-Dichalcogenide umfassen, jedoch ohne Beschränkung, Molybdändisulfid (MoS2), Hafniumdisulfid (HfS2), Zirkondisulfid (ZrS2), Wolframdisulfid (WS2), Zinnsulfid (SnS) und Wolframdiselenid (WSe2). In einer alternativen Ausführungsform kann das zweidimensionale halbleitende Material aus Graphen (C) gebildet sein. In einer alternativen Ausführungsform kann das zweidimensionale halbleitende Material durch eine Ladungsträgerbeweglichkeit gekennzeichnet sein, die größer ist als die Ladungsträgerbeweglichkeit von Silizium. Das zweidimensionale halbleitende Material und insbesondere das zweidimensionale halbleitende Material, das in jeder der Austauschkanalschichten 44 gebildet ist, kann eine einzelne Monoschicht von Atomen enthalten, die in einer dünnen Schicht angeordnet sind.
  • Das zweidimensionale halbleitende Material in der Schicht 46 kann dotiert sein, um seine elektrische Leitfähigkeit zu erhöhen. In einer Ausführungsform kann das zweidimensionale halbleitende Material in der Schicht 46 nach seiner Abscheidung dotiert werden. In einer Ausführungsform kann das zweidimensionale halbleitende Material in der Schicht 46 durch einen zerstörungsfreien Prozess, beispielsweise durch einen Plasmadotierungsprozess, dotiert werden. In einer Ausführungsform kann das zweidimensionale halbleitende Material in der Schicht 46 mit einem Dotierstoff vom p-Typ aus der Gruppe III des Periodensystems (z. B. Bor (B), Aluminium (AI), Gallium (Ga) und/oder Indium) dotiert sein (In)), das die elektrische Leitfähigkeit vom p-Typ liefert. In einer Ausführungsform kann das zweidimensionale halbleitende Material in der Schicht 46 mit einem Dotierstoff vom n-Typ aus der Gruppe V des Periodensystems (z. B. Phosphor (P) und/oder Arsen (As)) dotiert sein, der eine elektrische Leitfähigkeit vom n-Typ bereitstellt.
  • In einer alternativen Ausführungsform, und wie in 9B gezeigt ist, kann die abgeschiedene Dicke des zweidimensionalen halbleitenden Materials so gesteuert werden, dass die Räume 42 nur teilweise mit dem zweidimensionalen halbleitenden Material gefüllt sind. Das zweidimensionale halbleitende Material in jedem der Räume 42 bildet Abschnitte 44a, 44b, die eine Austauschkanalschicht bilden. Die Abschnitte 44a, 44b aus einem zweidimensionalen halbleitenden Material bilden auf den Zwischenschichten 35 die Abschnitte der Gatestrukturen 36 und 38, die oberhalb und unterhalb der Räume 42 angeordnet sind. In einer Ausführungsform kann jeder der Abschnitte 44a, 44b des zweidimensionalen halbleitenden Materials eine einzelne Monoschicht aus Atomen enthalten, die in einer dünnen Schicht angeordnet sind. Das zweidimensionale halbleitende Material in den Abschnitten 44a, 44b von jeder Austauschkanalschicht wird in einer vertikalen Richtung durch einen Luftspalt 48 getrennt, der sich aus der teilweisen Füllung der Räume 42 ergibt. Als Ergebnis umfasst ein Abschnitt von jedem Raum 42 einen der beiden Luftspalte 48.
  • In einer alternativen Ausführungsform, und wie in 9C gezeigt ist, kann die abgeschiedene Dicke des zweidimensionalen halbleitenden Materials so gesteuert werden, dass die Räume 42 teilweise mit dem zweidimensionalen halbleitenden Material gefüllt sind. Das zweidimensionale halbleitende Material in jedem der Räume 42 bildet die Abschnitte 44a, 44b einer Austauschkanalschicht. Die Abschnitte 44a, 44b aus einem zweidimensionalen halbleitenden Material bilden auf den Zwischenschichten 35 die Abschnitte der Gatestrukturen 36 und 38, die oberhalb und unterhalb der Räume 42 angeordnet sind. In einer Ausführungsform kann jeder der Abschnitte 44a, 44b des zweidimensionalen halbleitenden Materials eine einzelne Monoschicht aus Atomen umfassen, die in einer dünnen Schicht angeordnet sind. Eine dielektrische Schicht 50 kann in einem Abschnitt von jedem Raum 42 gebildet sein, der die Abschnitte 44a, 44b von jeder Austauschkanalschicht trennt. Die dielektrische Schicht 50 kann aus einem dielektrischen Material gebildet sein, wie beispielsweise SiO2, SiOC, SiOCN, SiBCN usw.
  • Mit Bezug auf 10, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 9 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden untere Source/Drain-Kontakte 52 gebildet, die mit dem zweidimensionalen halbleitenden Material in der Schicht 46 gekoppelt sind und die, mittels Erweiterung, durch die Schicht 46 mit dem zweidimensionalen halbleitenden Material in den Austauschkanalschichten 44 gekoppelt werden. Die unteren Source/Drain-Kontakte 52 können einen Leiter, wie etwa ein Metall wie Wolfram (W) oder Titannitrid (TiN), umfassen, der abgeschieden und mit einem Rückätzprozess ausgespart wird. Das zweidimensionale halbleitende Material in der Schicht 46, das dotiert sein kann, weist eine U-Form mit vertikalen Abschnitten auf, die durch einen unteren horizontalen Abschnitt auf der dielektrischen Schicht 18 verbunden sind, und wickelt sich um den Leiter, der in jedem unteren Source/Drain-Kontakt 52 gebildet ist. Diese Beziehung maximiert die Kontaktfläche, wodurch der Source/Drain-Kontaktwiderstand verringert werden kann.
  • Die Schicht 46 kann nach dem Bilden der unteren Source/Drain-Kontakte 52 unter Verwendung eines isotropen Ätzprozesses abgeschrägt werden, um die miteinander verbundenen horizontalen und vertikalen Abschnitte aus einem zweidimensionalen halbleitenden Material bereitzustellen. Jede Gruppe von miteinander verbundenen horizontalen und vertikalen Abschnitten eines zweidimensionalen halbleitenden Materials stellt einen Source/Drain-Bereich des Nanosheet-Feldeffekttransistors bereit. Gemäß der Verwendung hierin bedeutet der Begriff „Source/Drain-Bereich“ miteinander verbundene horizontale und vertikale Abschnitte des zweidimensionalen halbleitenden Materials, die entweder als Source oder als Drain eines Nanosheet-Feldeffekttransistors fungieren können.
  • Unter Bezugnahme auf 11, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 10 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens wird eine dielektrische Zwischenschicht 54 abgeschieden und durch ein chemischmechanisches Polieren (CMP) planarisiert. Die dielektrische Zwischenschicht 54 kann aus einem dielektrischen Material wie Siliziumdioxid (SiO2) gebildet sein. Obere Kontakte 56, die aus einem Metall (z. B. Wolfram (W)) gebildet sein können, sind innerhalb von Kontaktöffnungen gebildet, die in die dielektrische Zwischenschicht 54 geätzten sind und sich vertikal durch die dielektrische Zwischenschicht 54 erstrecken, um die unteren Source/Drain-Kontakte 52 zu kontaktieren.
  • Der fertiggestellte Nanosheet-Feldeffekttransistor umfasst Austauschkanalschichten 44, die ein zweidimensionales halbleitendes Material umfassen, anstelle eines Halbleitermaterials (z. B. Silizium), das die Kanalschicht eines herkömmlichen Nanosheet-Feldeffekttransistors bereitstellt. Die Ersetzung des zweidimensionalen halbleitenden Materials kann eine verbesserte elektrostatische Steuerung bewirken und kann eine weitere Skalierung der Gatelänge und eine Skalierung mit Skalierung des kontaktiertem Poly-Abstands (contacted poly pitch, CPP) ermöglichen. Die Anordnung der Schicht 46 und der unteren Source/Drain-Kontakte 52 stellt einen Rundumkontakt (wrap-around-contact, WAC) bereit, der den Kontaktwiderstand verbessern kann. Da die Source/Drain-Bereiche kein epitaktisches Halbleitermaterial umfassen, wie bei herkömmlichen Nanosheet-Feldeffekttransistoren, ist der Nanosheet-Feldeffekttransistor, der die Austauschkanalschichten 44 aus zweidimensionalem halbleitendem Material umfasst, ohne Verbindungsstellen gebildet. Entweder können Nanosheet-Feldeffekttransistoren vom n-Typ oder vom p-Typ durch Einstellen der Dotierung des zweidimensionalen halbleitenden Materials in der Schicht 46 und des Metalls gebildet werden, das zur Bildung der unteren Source/Drain-Kontakte 52 verwendet wird.
  • Die oben beschriebenen Verfahren werden bei der Herstellung von integrierten Schaltungschips verwendet. Die resultierenden integrierten Schaltungschips können vom Hersteller in der Form von rohen Wafern (z. B. als ein einzelner Wafer, der mehrere nichtverpackte Chips aufweist), als bloßer Chip oder in einer verpackten Form vertrieben werden. Im letzteren Fall ist der Chip in einem Einzelchipgehäuse (z. B. einem Kunststoffträger mit an einer Hauptplatine oder einem anderen übergeordneten Träger befestigten Leitungen) oder in einem Multichip-Gehäuse (z. B. einem Keramikträger mit Oberflächenverbindungen und/oder vergrabenen Verbindungen) montiert. In jedem Fall kann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von einem Zwischenprodukt oder einem Endprodukt integriert sein.
  • Bezugnahmen hierin auf Begriffe wie „vertikal“, „horizontal“, „lateral“ usw. werden beispielhaft und nicht einschränkend gemacht, um einen Bezugsrahmen zu schaffen. Begriffe wie „horizontal“ und „lateral“ beziehen sich auf eine Richtung in einer Ebene parallel zu einer oberen Oberfläche eines Halbleitersubstrats, unabhängig von ihrer tatsächlichen dreidimensionalen räumlichen Orientierung. Begriffe wie „vertikal“ und „normal“ beziehen sich auf eine Richtung senkrecht zur „horizontalen“ Richtung. Begriffe wie „oben“ und „unten“ geben die Positionierung von Elementen oder Strukturen relativ zueinander und/oder zur oberen Oberfläche des Halbleitersubstrats im Gegensatz zur relativen Erhebung an.
  • Ein Merkmal, das mit oder mit einem anderen Element „verbunden“ oder „gekoppelt“ ist, kann direkt mit dem anderen Element verbunden oder gekoppelt sein, oder es können stattdessen ein oder mehrere dazwischenliegende Elemente vorhanden sein. Ein Merkmal kann mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ sein, wenn keine dazwischenliegenden Elemente vorhanden sind. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ oder „indirekt gekoppelt“ sein, wenn mindestens ein dazwischen liegendes Element vorhanden ist.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zum Zwecke der Veranschaulichung vorgelegt, sollen aber nicht erschöpfend sein oder auf die offenbarten Ausführungsformen beschränkt sein. Für den Durchschnittsfachmann sind viele Modifikationen und Variationen offensichtlich, ohne vom Umfang und vom Geist der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung von auf dem Markt befindlichen Technologien am besten zu erklären, oder um anderen Fachleuten das Verständnis der hier offenbarten Ausführungsformen zu ermöglichen.

Claims (20)

  1. Struktur für einen Feldeffekttransistor, wobei die Struktur umfasst: eine Mehrzahl von Kanalschichten, die in einem Schichtstapel angeordnet sind; einen Source/Drain-Bereich, der mit der Mehrzahl von Kanalschichten verbunden ist; und eine Gatestruktur mit mehreren Abschnitten, die jeweils die mehreren Kanalschichten umgeben, wobei die Mehrzahl von Kanalschichten aus einem zweidimensionalen halbleitenden Material gebildet ist.
  2. Struktur nach Anspruch 1, wobei das zweidimensionale halbleitende Material ein Übergangsmetall-Dichalcogenid ist.
  3. Struktur nach Anspruch 1, wobei das zweidimensionale halbleitende Material Molybdändisulfid, Hafniumdisulfid, Zirkondisulfid, Wolframdisulfid, Zinnsulfid oder Wolframdiselenid ist.
  4. Struktur nach Anspruch 1, wobei das zweidimensionale halbleitende Material Graphen (C) ist.
  5. Struktur nach Anspruch 1, wobei der Source/Drain-Bereich aus dem zweidimensionalen halbleitenden Material gebildet ist.
  6. Struktur nach Anspruch 5, wobei das zweidimensionale halbleitende Material des Source/Drain-Bereichs einen Dotierstoff umfasst, der bewirkt, dass sich die elektrische Leitfähigkeit des zweidimensionalen halbleitenden Materials des Source/Drain-Bereichs erhöht.
  7. Struktur nach Anspruch 5, ferner umfassend: einen Kontakt, der mit dem zweidimensionalen halbleitenden Material des Source/Drain-Bereichs gekoppelt ist.
  8. Struktur nach Anspruch 7, wobei das zweidimensionale halbleitende Material des Source/Drain-Gebiets einen Dotierstoff umfasst, bewirkt, dass sich die elektrische Leitfähigkeit erhöht.
  9. Struktur nach Anspruch 1, wobei jede Kanalschicht einen ersten Abschnitt und einen zweiten Abschnitt aufweist, die durch einen Luftspalt getrennt sind.
  10. Struktur nach Anspruch 1, wobei jede Kanalschicht einen ersten Abschnitt und einen zweiten Abschnitt aufweist, die von dem ersten Abschnitt beabstandet ist, und wobei die Struktur ferner umfasst: eine dielektrische Schicht, die zwischen dem ersten Abschnitt und dem zweiten Abschnitt von jeder Kanalschicht angeordnet ist.
  11. Struktur nach Anspruch 1, wobei jede Kanalschicht eine Dicke von einem Nanometer bis drei Nanometern aufweist.
  12. Struktur nach Anspruch 1, wobei jede Kanalschicht eine Schicht ist, die eine Monoschicht aus Atomen enthält.
  13. Verfahren zum Bilden eines Feldeffekttransistors, wobei das Verfahren umfasst: ein Bilden von einer Mehrzahl von Nanosheet-Kanalschichten, die in einem Schichtstapel angeordnet sind; ein Bilden einer Gatestruktur mit einer Mehrzahl von Abschnitten, die jeweils die Mehrzahl von Nanosheet-Kanalschichten umgeben; nach dem Bilden der Gatestruktur ein Entfernen der mehreren Nanosheet-Kanalschichten, um mehrere Räume zwischen den mehreren Abschnitten der Gatestruktur zu bilden; und ein Abscheiden eines zweidimensionalen halbleitenden Materials in den mehreren Räumen zwischen den mehreren Abschnitten der Gatestruktur, um mehrere Austauschkanalschichten zu bilden.
  14. Verfahren nach Anspruch 13, wobei das zweidimensionale halbleitende Material ein Übergangsmetall-Dichalcogenid ist.
  15. Verfahren nach Anspruch 13, wobei das zweidimensionale halbleitende Material auf einer Seitenwand des Schichtstapels abgeschieden wird, um einen Source/Drain-Bereich bereitzustellen, der mit der Mehrzahl von Austauschkanalschichten verbunden ist.
  16. Verfahren nach Anspruch 15, ferner umfassend: ein Einbringen von einem Dotierstoff in das zweidimensionale halbleitende Material des Source/Drain-Bereichs durch einen Plasmadotierungsprozess, der bewirkt, dass eine elektrische Leitfähigkeit des zweidimensionalen halbleitenden Materials des Source/Drain-Bereichs erhöht wird.
  17. Verfahren nach Anspruch 16, ferner umfassend: ein Bilden eines Kontakts, der mit dem zweidimensionalen halbleitenden Material des Source/Drain-Bereichs gekoppelt ist.
  18. Verfahren nach Anspruch 15, wobei jeder Raum teilweise durch einen ersten Abschnitt und einen zweiten Abschnitt des zweidimensionalen halbleitenden Materials gefüllt ist und ein Luftspalt in einem Abschnitt von jedem Raum zwischen dem ersten Abschnitt und dem zweiten Abschnitt des zweidimensionalen halbleitenden Materials angeordnet ist.
  19. Verfahren nach Anspruch 15, wobei jeder Raum teilweise durch einen ersten Abschnitt und einen zweiten Abschnitt des zweidimensionalen halbleitenden Materials gefüllt ist und das Verfahren ferner umfasst: ein Abscheiden einer dielektrischen Schicht in einem Bereich von jedem Raum, der zwischen dem ersten Abschnitt und dem zweiten Abschnitt des zweidimensionalen halbleitenden Materials angeordnet ist.
  20. Verfahren nach Anspruch 13, wobei jede Kanalschicht eine Schicht ist, die eine Monoschicht aus Atomen umfasst.
DE102019205650.4A 2018-05-30 2019-04-18 Struktur für einen Feldeffekttransistor und Verfahren Active DE102019205650B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/992,942 US10388732B1 (en) 2018-05-30 2018-05-30 Nanosheet field-effect transistors including a two-dimensional semiconducting material
US15/992,942 2018-05-30

Publications (2)

Publication Number Publication Date
DE102019205650A1 true DE102019205650A1 (de) 2019-12-05
DE102019205650B4 DE102019205650B4 (de) 2023-11-23

Family

ID=67620789

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019205650.4A Active DE102019205650B4 (de) 2018-05-30 2019-04-18 Struktur für einen Feldeffekttransistor und Verfahren

Country Status (4)

Country Link
US (1) US10388732B1 (de)
CN (1) CN110556376B (de)
DE (1) DE102019205650B4 (de)
TW (1) TWI746967B (de)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US10692866B2 (en) * 2018-07-16 2020-06-23 International Business Machines Corporation Co-integrated channel and gate formation scheme for nanosheet transistors having separately tuned threshold voltages
US11062937B2 (en) * 2019-01-11 2021-07-13 International Business Machines Corporation Dielectric isolation for nanosheet devices
CN112420831B (zh) * 2019-08-23 2024-05-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11069819B2 (en) * 2019-10-30 2021-07-20 Globalfoundries U.S. Inc. Field-effect transistors with channel regions that include a two-dimensional material on a mandrel
CN111446288B (zh) * 2020-03-08 2021-09-17 复旦大学 基于二维材料的ns叠层晶体管及其制备方法
KR20210117004A (ko) 2020-03-18 2021-09-28 삼성전자주식회사 2d 물질로 이루어진 채널을 구비하는 전계 효과 트랜지스터
US11476333B2 (en) 2020-03-31 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel structure
DE102020120863A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dualkanalstruktur
US20210313395A1 (en) * 2020-04-03 2021-10-07 Nanya Technology Corporation Semiconductor device with embedded magnetic storage structure and method for fabricating the same
US11101361B1 (en) 2020-05-28 2021-08-24 United Microelectronics Corp. Gate-all-around (GAA) transistor and method of fabricating the same
US20210408375A1 (en) * 2020-06-29 2021-12-30 Intel Corporation Transition metal dichalcogenide (tmd) layer stack for transistor applications and methods of fabrication
US11515397B2 (en) * 2020-07-21 2022-11-29 Globalfoundries U.S. Inc. III-V compound semiconductor layer stacks with electrical isolation provided by a trap-rich layer
KR20220031366A (ko) 2020-09-04 2022-03-11 삼성전자주식회사 전계 효과 트랜지스터 및 전계 효과 트랜지스터의 제조 방법
CN112349593B (zh) * 2020-10-27 2023-02-03 华东师范大学 一种石墨烯为源漏电极的二维薄膜晶体管及制备方法
US11450666B2 (en) * 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including two-dimensional material and methods of fabrication thereof
US20220199783A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Transition metal dichalcogenide nanosheet transistors and methods of fabrication
CN113035946A (zh) * 2021-03-11 2021-06-25 西交利物浦大学 掺杂MXene的突触型薄膜晶体管及其制备方法
US20220328670A1 (en) * 2021-04-09 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Channel structures including doped 2d materials for semiconductor devices
WO2022257075A1 (zh) * 2021-06-10 2022-12-15 上海集成电路制造创新中心有限公司 源漏接触金属的工艺方法、器件及其制备方法
KR20230037989A (ko) * 2021-09-10 2023-03-17 삼성전자주식회사 멀티 브릿지 채널 전계 효과 트랜지스터 및 그 제조 방법
US20230101370A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Thin film transistors having multi-layer gate dielectric structures integrated with 2d channel materials
US20230100451A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Contact gating for 2d field effect transistors
US20230099814A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Heterostructure material contacts for 2d transistors
US20230105783A1 (en) * 2021-09-27 2023-04-06 International Business Machines Corporation Semiconductor structure having two-dimensional channel
US20230118088A1 (en) * 2021-10-19 2023-04-20 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US11935930B2 (en) 2021-11-30 2024-03-19 International Business Machines Corporation Wrap-around-contact for 2D-channel gate-all-around field-effect-transistors
KR20240003967A (ko) * 2022-07-04 2024-01-11 삼성전자주식회사 반도체 장치
KR20240012978A (ko) * 2022-07-21 2024-01-30 삼성전자주식회사 2차원 물질을 포함하는 반도체 소자 및 그 제조방법
CN115332347A (zh) * 2022-08-15 2022-11-11 长鑫存储技术有限公司 半导体结构及制备方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US303772A (en) * 1884-08-19 Fence
US101724A (en) * 1870-04-12 Improvement in car-springs
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
KR101922115B1 (ko) * 2012-12-27 2018-11-26 삼성전자주식회사 이중 전이금속 다이칼코지나이드 채널을 가진 전계효과 트랜지스터
US9362397B2 (en) * 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
US9711647B2 (en) * 2014-06-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Thin-sheet FinFET device
CN104766888A (zh) * 2015-03-26 2015-07-08 清华大学 高介电常数栅介质复合沟道场效应晶体管及其制备方法
US10211312B2 (en) * 2015-08-07 2019-02-19 Imec Vzw Ferroelectric memory device and fabrication method thereof
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
EP3185303A1 (de) * 2015-12-22 2017-06-28 IMEC vzw Halbleiterbauelement aus zweidimensionalem material

Also Published As

Publication number Publication date
DE102019205650B4 (de) 2023-11-23
TW202003370A (zh) 2020-01-16
CN110556376A (zh) 2019-12-10
TWI746967B (zh) 2021-11-21
CN110556376B (zh) 2024-01-12
US10388732B1 (en) 2019-08-20

Similar Documents

Publication Publication Date Title
DE102019205650B4 (de) Struktur für einen Feldeffekttransistor und Verfahren
DE102018218518B4 (de) Epitaktische Strukturen in komplementären Feldeffekttransistoren
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102019200120B4 (de) Schaltungen basierend auf komplementären Feldeffekttransistoren
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102019116739A1 (de) Hochleistungs-mosfet
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
DE102019116859A1 (de) Verfahren zum herstellen einer halbleitervorrichtung, und eine halbleitervorrichtung
DE112016003961T5 (de) Herstellung von vertikalen Transistoren und Einheiten
DE102015110636A1 (de) ET-Strukturen und Bildungsverfahren
DE102018124749A1 (de) Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen
DE102019118385A1 (de) Halbleitervorrichtung und Verfahren
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019206113B4 (de) Feldeffekttransistoren mit multiplen Gatelängen und Verfahren zu seiner Herstellung
DE102020126167A1 (de) Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel
DE102019206553A1 (de) Halbleitervorrichtung mit verbesserter Gate-Source/Drain-Metallisierungsisolation
DE102019116052B4 (de) Transistoren mit vertiefter siliziumdeckschicht und herstellungsverfahren
DE102021100720A1 (de) Kontakte für halbleitervorrichtungen und verfahren zu deren herstellung
DE102020115554A1 (de) Doppeldotiermittel-source/drain-regionen und deren herstellungsverfahren
DE102019122443A1 (de) Transistoren mit Halbleiter-Stapelschichten als Kanäle
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102019110004A1 (de) Schlitzkontakte und verfahren zu deren herstellung
DE102020113099A1 (de) Ferroelektrische direktzugriffsspeichervorrichtung mit einem dreidimensionalen ferroelektrischen kondensator
DE102017124223B4 (de) Halbleiterstruktur mit Finnen und Isolationsfinnen und Verfahren zu deren Herstellung
DE102021100990A1 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division