DE102020126167A1 - Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel - Google Patents

Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel Download PDF

Info

Publication number
DE102020126167A1
DE102020126167A1 DE102020126167.5A DE102020126167A DE102020126167A1 DE 102020126167 A1 DE102020126167 A1 DE 102020126167A1 DE 102020126167 A DE102020126167 A DE 102020126167A DE 102020126167 A1 DE102020126167 A1 DE 102020126167A1
Authority
DE
Germany
Prior art keywords
channel layer
mandrel
channel
region
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020126167.5A
Other languages
English (en)
Inventor
Julien Frougier
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102020126167A1 publication Critical patent/DE102020126167A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7789Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices

Abstract

Strukturen für einen Feldeffekttransistor und Verfahren zum Bilden von Strukturen für einen Feldeffekttransistor. Eine Gate-Elektrode weist einen Abschnitt (43) auf, der um eine erste Seitenoberfläche und eine zweite Seitenoberfläche eines Mandrels (38) gehüllt ist, der aus einem dielektrischen Material zusammengesetzt ist. Eine Kanalschicht (34, 36) weist einen Kanalbereich (70) auf, der teilweise zwischen der ersten Seitenoberfläche des Mandrels (38) und dem Abschnitt (43) der Gate-Elektrode positioniert ist. Die Kanalschicht (34, 36) ist aus einem zweidimensionalen Material zusammengesetzt.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft eine Halbleitervorrichtungsfertigung und integrierte Schaltungen und insbesondere Strukturen für einen Feldeffekttransistor und Verfahren zum Bilden einer Struktur für einen Feldeffekttransistor.
  • Komplementär-Metall-Oxid-Halbleiter (complementary-metal-oxidesemiconductor; CMOS)-Prozesse können verwendet werden, um p-Typ- und n-Typ-Feldeffekttransistoren zu bauen, die verwendet werden, um beispielsweise Logikzellen aufzubauen. Feldeffekttransistoren umfassen im Allgemeinen einen in einem Halbleiterkörper befindlichen Kanalbereich, eine Source, einen Drain, und eine Gate-Elektrode über dem Körper. Wenn eine Steuerspannung, die eine charakteristische Schwellenspannung überschreitet, an die Gate-Elektrode angelegt wird, tritt ein Trägerfluss in dem Kanalbereich zwischen der Source und dem Drain auf, um einen Vorrichtungsausgangsstrom zu erzeugen.
  • Nanosheet-Feldeffekttransistoren repräsentieren einen Typ eines nicht-planaren Feldeffekttransistors, der mit einer erhöhten Packungsdichte in einer integrierten Schaltung gefertigt werden kann. Ein Nanosheet-Feldeffekttransistor umfasst mehrere Nanosheet-Kanalschichten, die in einem strukturierten Schichtstapel über einer oberen Oberfläche eines Substrats angeordnet sind, und Source/Drain-Bereiche, die mit den lateralen Enden der Nanosheet-Kanalschichten verbunden sind. Die Nanosheet-Kanalschichten werden anfänglich in dem strukturierten Schichtstapel mit Opferschichten angeordnet, die ein Material (z.B. Silizium-Germanium) enthalten, das sich mit den Nanosheet-Kanalschichten abwechselt und das selektiv bezüglich des Materials (z.B. Silizium) geätzt werden kann, das die Nanosheet-Kanalschichten darstellt. Die Source/Drain-Bereiche können durch epitaktisches Aufwachsen von Halbleitermaterial von den lateralen Enden der Nanosheet-Kanalschichten gebildet werden. Die Opferschichten werden geätzt und entfernt, um die Nanosheet-Kanalschichten freizugeben und Räume für die Bildung einer Gate-Elektrode bereitzustellen. Abschnitte der Gate-Elektrode können alle Seiten der individuellen Nanosheet-Kanalschichten in einer Gate-All-Around-Anordnung umgeben. Während eines Betriebs mit einer an die Gate-Elektrode angelegten Steuerspannung erzeugt der horizontale Fluss von Trägern in den Nanosheet-Kanalschichten den Vorrichtungsausgangsstrom.
  • Bei Nanosheet-Feldeffektransistoren kann es Schwierigkeiten beim Skalieren geben, weil Verringerungen der Nanosheet-Dicke schließlich einen Punkt erreichen, an dem ein Quantum-Confinement die Performance signifikant herabsetzt. Zusätzlich können Short-Channel-Effekte die Fähigkeit begrenzen, damit fortzufahren, die Gate-Länge zu schrumpfen. Als solche können Grenzen bezüglich einer elektrostatischen Steuerung für Nanosheet-Feldeffekttransistoren das Skalieren begrenzen.
  • Es werden verbesserte Strukturen für einen Feldeffekttransistor und Verfahren zum Bilden einer Struktur für einen Feldeffekttransistor benötigt.
  • KURZER ABRISS
  • Bei Ausführungsformen der Erfindung wird eine Struktur für einen Feldeffekttransistor bereitgestellt. Die Struktur umfasst einen Mandrel, der aus einem dielektrischen Material zusammengesetzt ist, und eine Gate-Elektrode, die einen Abschnitt aufweist, der um eine erste Seitenoberfläche und eine zweite Seitenoberfläche des Mandrels gehüllt ist. Die Struktur umfasst ferner eine Kanalschicht, die einen Kanalbereich aufweist, der teilweise zwischen der ersten Seitenoberfläche des Mandrels und dem Abschnitt der Gate-Elektrode positioniert ist. Die Kanalschicht ist aus einem zweidimensionalen Material zusammengesetzt.
  • Bei Ausführungsformen der Erfindung wird ein Verfahren zum Bilden eines Feldeffekttransistors bereitgestellt. Das Verfahren umfasst ein Bilden einer Kanalschicht umfassend einen Kanalbereich, ein Bilden eines dielektrischen Mandrels, der eine erste Seitenoberfläche und eine zweite Seitenoberfläche umfasst, und ein Bilden einer Gate-Elektrode, die einen Abschnitt aufweist, der um die erste Seitenoberfläche und die zweite Seitenoberfläche des dielektrischen Mandrels gehüllt ist. Die Kanalschicht ist aus einem zweidimensionalen Material zusammengesetzt. Der Kanalbereich der Kanalschicht ist teilweise zwischen der ersten Seitenoberfläche des dielektrischen Mandrels und dem Abschnitt der Gate-Elektrode positioniert.
  • Figurenliste
  • Die beigefügten Zeichnungen, die in diese Spezifikation einbezogen sind und einen Teil von ihr darstellen, veranschaulichen verschiedene Ausführungsformen der Erfindung und dienen, zusammen mit einer oben gegebenen allgemeinen Beschreibung der Erfindung und der nachstehend gegebenen detaillierten Beschreibung der Ausführungsformen, dazu, die Ausführungsformen der Erfindung zu erläutern. In den Zeichnungen beziehen sich gleiche Bezugszeichen auf gleiche Merkmale in den verschiedenen Ansichten.
    • 1 ist eine Ansicht von oben einer Vorrichtungsstruktur in einem anfänglichen Fertigungsstadium eines Verarbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 2 ist eine Querschnittsansicht insgesamt entlang einer Linie 2-2 in 1.
    • 3 ist eine Ansicht von oben einer Vorrichtungsstruktur in einem Fertigungsstadium anschließend an 1.
    • 4 ist eine Querschnittsansicht insgesamt entlang einer Linie 4-4 in 3.
    • 4A ist eine Querschnittsansicht insgesamt entlang einer Linie 4A-4A in 3.
    • 4B ist eine Querschnittsansicht insgesamt entlang einer Linie 4B-4B in 3.
    • 5-10, 5A-10A, und 5B-10B sind jeweilige Querschnittsansichten der Vorrichtungsstruktur in aufeinanderfolgenden Fertigungsstadien des Verarbeitungsverfahrens anschließend an die 3A, 3B, 3C.
    • 11-16, 11A-16A, und 11B-16B sind Querschnittsansichten der Vorrichtungsstruktur in aufeinanderfolgenden Fertigungsstadien eines Verarbeitungsverfahrens gemäß alternativen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Unter Bezugnahme auf die 1, 2 und gemäß Ausführungsformen der Erfindung sind eine oder mehrere Nanosheet-Kanalschichten 10, eine oder mehrere Opferschichten 12, und eine Opferschicht 14 in einem Schichtstapel 15 angeordnet, der sich auf einem Substrat 11 befindet. Die Opferschicht 14 ist in einer vertikalen Richtung zwischen dem Substrat 11 und der untersten Opferschicht 12 angeordnet. Das Substrat 11 kann aus einem einkristallinen Halbleitermaterial, wie etwa einkristallinem Silizium, zusammengesetzt sein. Die Nanosheet-Kanalschichten 10, die Opferschichten 12, und die Opferschicht 14 können auf dem Substrat 11 durch einen epitaktischen Wachstumsprozess gebildet werden, während dessen die Schichtzusammensetzung durch Variierung der dem Abscheidewerkzeug zugeführten Reaktanten abgewechselt wird. Die Anzahl von Nanosheet-Kanalschichten 10 und Opferschichten 12 in dem Schichtstapel 15 kann sich in der Anzahl von den repräsentativen Ausführungform unterscheiden. Insbesondere kann die Anzahl von Nanosheet-Kanalschichten 10 und Opferschichten 12 in dem Schichtstapel 15 durch die Hinzufügung von Paaren von Nanosheet-Kanalschichten 10 und Opferschichten 12 zu dem Schichtstapel 15 größer als die Anzahl bei der repräsentativen Ausführungform sein.
  • Die Nanosheet-Kanalschichten 10 sind aus einem einkristallinen Halbleitermaterial zusammengesetzt, und die Opferschichten 12 sind aus einem einkristallinen Halbleitermaterial mit einer Zusammensetzung zusammengesetzt, die dazu ausgewählt ist, selektiv bezüglich des einkristallinen Halbleitermaterials der Nanosheet-Kanalschichten 10 entfernt zu werden. Die Opferschicht 14 ist aus einem einkristallinen Halbleitermaterial mit einer Zusammensetzung zusammengesetzt, die dazu ausgewählt ist, selektiv bezüglich des einkristallinen Halbleitermaterials sowohl der Nanosheet-Kanalschichten 10 als auch der Opferschichten 12 entfernt zu werden. Wie hierin verwendet, bedeutet der Begriff „selektiv“ in Bezug auf einen Materialentfernungsprozess (z.B. Ätzen), dass, mit Wahl eines geeigneten Ätzmittels, die Materialentfernungsrate (d.h. Ätzrate) für das anvisierte Material größer als die Entfernungsrate für wenigstens ein anderes Material ist, das dem Materialentfernungsprozess ausgesetzt wird.
  • Bei einer Ausführungsform kann das Halbleitermaterial, das die Nanosheet-Kanalschichten 10 darstellt, einkristallines Silizium sein, das Halbleitermaterial, das die Opferschichten 12 darstellt, kann einkristallines Silizium-Germanium sein, das aufgrund seines Germaniumgehalts mit einer höheren Rate geätzt wird als Silizium, und das Halbleitermaterial, das die Opferschicht 14 darstellt, kann Silizium-Germanium sein, das aufgrunddessen, das es einen höheren Germaniumgehalt als die Opferschichten 12 enthält, mit einer höheren Rate als die Opferschichten 12 geätzt wird. Bei einer Ausführungsform sind die Nanosheet-Kanalschichten 10 frei von Germanium, der Germaniumgehalt der Opferschichten 12 kann von fünfzehn Atomprozent (15 At.-%) bis fünfunddreißig Atomprozent (35 At.-%) reichen, und der Germaniumgehalt der Opferschicht 14 kann von fünfzig Atomprozent (50 At.-%) bis fünfundsiebzig Atomprozent (75 At.-%) reichen.
  • Eine Hartmaske 16 wird über dem Schichtstapel 15 abgeschieden und dann durch Lithografie- und Ätzprozesse strukturiert. Abschnitte der Hartmaske 16 bedecken Abschnitte des Schichtstapels 15. Die Hartmaske 16 kann aus einem dielektrischen Material, wie etwa Siliziumnitrid, zusammengesetzt sein, das durch chemische Dampfabscheidung (chemical vapor deposition; CVD) abgeschieden wird.
  • Unter Bezugnahme auf die 3, 4A, 4B, 4C, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 1, 2 beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Nanosheet-Kanalschichten 10, Opferschichten 12, und Opferschicht 14 mit einem Ätzprozess, wie etwa einem anisotropen Ätzprozess, wie reaktivem Ionenätzen, strukturiert, um Finnen 18 zu definieren. Das vorherige Strukturieren der Abschnitte der Hartmaske 16 schafft die Struktur für die Finnen 18.
  • Das Substrat 11 kann durch den Ätzprozess geätzt werden, und insbesondere können selbstausrichtende Gräben in dem Substrat 11 zwischen angrenzenden Seitenwänden 19 der Finnen 18 definiert sein. Anschließend werden Flachgrabenisolationsbereiche 20 in den Gräben zwischen den angrenzenden Seitenwänden 19 der Finnen 18 durch Abscheiden einer aus einem dielektrischen Material, wie etwa Siliziumdioxid, zusammengesetzten Schicht in den Gräben und Aussparen der abgeschiedenen Schicht mit einem Ätzprozess gebildet.
  • Opfer-Gate-Strukturen 22 werden gebildet, die mit einem Abschnitt jeder Finne 18 überlappen und sie umhüllen. Der Begriff „Opfer-Gate-Struktur“ wie hierin verwendet bezieht sich auf eine Platzhalterstruktur für eine anschließend zu bildende Gate-Struktur. Die Opfer-Gate-Strukturen 22 weisen eine beabstandete Anordnung entlang der Länge der Finnen 18 auf und sind quer zu den Längsachsen der Finnen 18 ausgerichtet. Die Opfer-Gate-Strukturen 22 können eine dünne Oxidschicht, die die äußeren Oberflächen der Finnen 18 überzieht, und eine dickere Schicht umfassen, die ein Opfermaterial, wie etwa amorphes Silizium enthält. Die Opfer-Gate-Strukturen 22 können durch Lithografie- und Ätzprozesse aus diesen Bestandsschichten mit reaktivem Ionenätzen (reactive ion etching; RIE) unter Verwendung einer Hartmaske strukturiert werden. Die Opfer-Gate-Strukturen 22 sind jeweils durch eine Hartmaskenkappe 24 bedeckt. Die Hartmaskenkappe 24, die ein dielektrisches Material, wie etwa Siliziumnitrid, enthält, kann ein Rest der Hartmaske aus den Lithografie- und Ätzprozessen sein, die verwendet wurden, um die Opfer-Gate-Strukturen 22 zu strukturieren.
  • Die Opferschicht 14 kann von jeder Finne 18 durch einen selektiven Ätzprozess entfernt werden, um Räume unter den Finnen 18 zu schaffen. Wie hierin verwendet, bedeutet der Begriff „selektiv“ in Bezug auf einen Materialentfernungsprozess (z.B. Ätzen), dass, mit Wahl eines geeigneten Ätzmittels, die Materialentfernungsrate (d.h. Ätzrate) für das anvisierte Material größer als die Entfernungsrate für wenigstens ein anderes Material ist, das dem Materialentfernungsprozess ausgesetzt wird. Dann können Seitenwand-Spacer 26 angrenzend an die Seitenwände der Opfer-Gate-Strukturen 22 gebildet werden. Die Seitenwand-Spacer 26 können durch Abscheiden einer konformen Schicht, die aus einem dielektrischen Material, wie etwa einem Low-k-Dielektrikumsmaterial (z.B. SiBCN, SiOC, oder SiOCN) zusammengesetzt ist, und Ätzen der abgeschiedenen konformen Schicht mit einem anisotropen Ätzprozess, wie etwa reaktivem Ionenätzen, gebildet werden. Abschnitte der abgeschiedenen konformen Schicht füllen die Räume, die durch die Entfernung der strukturierten Abschnitte der Operschicht 14 erzeugt wurden, um eine untere dielektrische Isolationsschicht 28 unter den Finnen 18 zu definieren.
  • Durch einen Ätzprozess, der durch die Seitenwand-Spacer 26 und Opfer-Gate-Strukturen 22 selbstausrichtend ist, können Aussparungen 25 in den Finnen 18 gebildet werden. Der Ätzprozess kann an der unteren dielektrischen Isolationsschicht 28 stoppen. Jeder der Finnen 18 umfasst Seitenwände 19 angrenzend an die Aussparungen, und angrenzende Seitenwände 19 der Finnen 18 sind durch einen Spalt einer Dimension, S, getrennt.
  • Unter Bezugnahme auf die 5, 5A, 5B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 4, 4A, 4B beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Opferschichten 12 mit einem Ätzprozess ausgespart, der das Material der Opferschichten 12 selektiv bezüglich des Materials der Nanosheet-Kanal-schichten 10 entfernt. Durch Abscheiden einer konformen Schicht aus dielektrischem Material, wie etwa Siliziumnitrid, das die Aussparungen angrenzend an die gegenüberliegenden Enden der ausgesparten Opferschichten 12 füllt, und dann Durchführen eines anisotropen Ätzprozesses, um die konforme Schicht von einer Außenseite der Aussparungen zu entfernen, werden innere Spacer 30 gebildet.
  • Unter Bezugnahme auf die 6, 6A, 6B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 5, 5A, 5B beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Nanosheet-Kanalschichten 10 mit einem Ätzprozess vollständig entfernt, um Räume 32 zu bilden, die sich quer durch die volle Breite der Opferschichten 12 und Seitenwand-Spacer 26 erstrecken. Beispielsweise kann der Ätzprozess ein Remote-Plasma-unterstützter Trockenätzprozess (z.B. ein Frontier-Ätzen) sein, der die Nanosheet-Kanalschichten 10 gegenüber Radikalen (d.h. ungeladenen oder neutralen Spezies) exponiert, die aus einer Gasmischung von Stickstofftrifluorid (NF3) und Wasserstoff (H2) erzeugt werden. Bei konventionellen Prozessen sind die Nanosheet-Kanalschichten 10 nicht opfernd und wären in der endgültigen Vorrichtungsstruktur vorhanden.
  • Unter Bezugnahme auf die 7, 7A, 7B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 6, 6A, 6B beziehen, und in einem nachfolgenden Fertigungsstadium, wird ein zweidimensionales (2D) Material konform abgeschieden, das jeden der Räume 32 teilweise mit Ersatz-Kanal-Schichten 34 füllt und das eine Schicht 36 bildet, die die Seitenwand-Spacer 26 und die Hartmaskenkappe 24 umhüllt. Das zweidimensionale Material wird auch auf der unteren dielektrischen Isolationsschicht 28 abgeschieden. Die Ersatz-Kanal-Schicht 34 füllt jeden Raum 32 nur teilweise mit dem zweidimensionalen Material. Die Ersatz-Kanal-Schicht 34 und die Schicht 36 definieren einen kontinuierlichen dünnen Film, der aus dem zweidimensionalen Material zusammengesetzt ist.
  • Das zweidimensionale Material, das die Ersatz-Kanal-Schichten 34 und die Schicht 36 bildet, kann ein dünner konformer Überzug sein, der beispielsweise durch Atomlagenabscheidung oder chemische Dampfabscheidung abgeschieden wurde. Einschränkungen bezüglich der Abscheidetemperatur werden reduziert, weil die Abscheidung im Prozessfluss stattfindet, bevor die Metall-Gate-Strukturen gebildet werden, die die Opfer-Gate-Strukturen 22 ersetzen. Bei einer Ausführungsform kann das zweidimensionale Material durch eine Trägermobilität gekennzeichnet sein, die größer als die Trägermobilität von Silizium ist. Bei einer Ausführungsform kann das zweidimensionale Material aus einem Übergangsmetall-Dichalkogenid zusammengesetzt sein, das ein Übergangsmetall (z.B. Molybdän (Mo) oder Wolfram (W)) und ein Chalkogenatom (Schwefel (S), Selen (Se) oder Tellur (Te)) umfasst. Beispielhafte Übergangsmetall-Dichalkogenide umfassen, ohne Beschränkung darauf, Molybdändisulfid (MoS2), Hafniumdisulfid (HfS2), Zircondisulfid (ZrS2), Wolframdisulfid (WS2), Zinnsulfid (SnS), und Wolframdiselenid (WSe2). Bei einer alternativen Ausführungsform kann das zweidimensionale Material aus Graphen (C) zusammengesetzt sein. Bei einer Ausführungsform kann das zweidimensionale Material und insbesondere das in jeder der Ersatz-Kanal-Schichten 34 enthaltene zweidimensionale Material 34 eine einzelne Monoschicht von Atomen umfassen, die in einer dünnen Lage angeordnet sind. Bei einer alternativen Ausführungsform kann jede Ersatz-Kanal-Schicht 34 eine Monoschicht bis zwei Monoschichten des zweidimensionalen Materials enthalten. Bei einer alternativen Ausführungsform kann jede Ersatz-Kanal-Schicht 34 zwei oder mehr Monoschichten des zweidimensionalen Materials enthalten.
  • Unter Bezugnahme auf die 8, 8A, 8B, in denen gleiche Bezugszeichen sich auf gleiche Merkmale in den 7, 7A, 7B beziehen, und in einem nachfolgenden Fertigungsstadium, werden Mandrels 38 gebildet, die den Rest jedes Raums 32 füllen, der nicht durch die Ersatz-Kanal-Schichten 34 besetzt ist. Die Mandrels 38 können aus einem dielektrischen Material, wie einem Low-k-Dielektrikumsmaterial (z.B. SiBCN, SiOC, oder SiOCN) zusammengesetzt sein, das als Schicht durch eine Atomlagenabscheidung abgeschieden und mit einem isotropen Ätzprozess geätzt wurde, um Abschnitte der zu den Räumen 32 äußeren Schicht zu entfernen. Die abgeschiedene Schicht kann innerhalb der Räume 32 abgeklemmt (pinched-off) sein, um die Mandrels 38 zu bilden. Die Mandrels 38 werden gebildet, nachdem die Ersatz-Kanal-Schichten 34 und die Schicht 36 gebildet worden sind. Die Mandrels 38 weisen eine gestapelte Anordnung auf, die durch die Räume 32 und Ersatz-Kanal-Strukturen 34 geschaffen wird.
  • Jeder Mandrel 38 umfasst gegenüberliegende Endoberflächen 37, die in einer lateralen Richtung relativ zueinander beabstandet sind, und Seitenoberflächen 39, die zwischen den gegenüberliegenden Endoberflächen 37 positioniert sind. Die Seitenoberflächen 39 sind um den Umfang jedes Mandrels 38 angeordnet. Eine der Seitenoberflächen 39 jedes Mandrels 38 ist eine obere Seitenoberfläche, die am weitesten (d.h. entfernt) von dem Substrat 11 positioniert ist, und eine andere der Seitenoberflächen 39 jedes Mandrels 38 ist eine untere Seitenoberfläche, die am nächsten (d.h. nahe) bei dem Substrat 11 positioniert ist. Die oberen und unteren Seitenoberflächen 39 jedes Mandrels 38 können in parallelen oder im Wesentlichen parallelen Ebenen enthalten sein. Bei einer Ausführungsform kann jeder Mandrel 38 einen rechteckigen Querschnitt parallel zu seiner Längsachse aufweisen. Das zweidimensionale Material in jeder Ersatz-Kanal-Schicht 34 ist um alle der Seitenoberflächen 39 von einem der Mandrels 38 gehüllt (d.h. umgibt sie oder erstreckt sich vollständig um sie) und ist, als ein Ergebnis, auf den oberen und unteren Seitenoberflächen 39 sowie allen anderen Seitenoberflächen 39 positioniert. Bei einer Ausführungsform ist das zweidimensionale Material in jeder Ersatz-Kanal-Schicht 34 in direktem Kontakt mit den Seitenoberflächen 39 von einem der Mandrels 38. Die gegenüberliegenden Seitenoberflächen 37 jedes Mandrels 38 sind nicht durch das zweidimensionale Material bedeckt.
  • Das zweidimensionale Material in der Schicht 36 kann dotiert sein, wie durch die mit dem Bezugszeichen 35 beschrifteten Pfeile mit einfacher Spitze in 8 diagrammatisch angezeigt, um seine elektrische Leitfähigkeit zu erhöhen. Bei einer Ausführungsform kann das zweidimensionale Material in der Schicht 36 nach seiner Abscheidung und nachdem die Mandrels 38 gebildet worden sind, dotiert werden. Bei einer Ausführungsform kann das zweidimensionale Material in der Schicht 36 durch einen nicht-beschädigenden Dotierprozess, wie etwa durch einen Plasmadotierprozess, dotiert werden. Bei einer Ausführungsform kann das zweidimensionale Material in der Schicht 36 mit einem p-Typ-Dotierstoff dotiert werden, der eine elektrische p-Typ-Leitfähigkeit bereitstellt. Bei einer alternativen Ausführungsform kann das zweidimensionale Material in der Schicht 36 mit einem n-Typ-Dotierstoff dotiert werden, der eine elektrische n-Typ-Leitfähigkeit bereitstellt. Die Ersatz-Kanal-Schichten 34 werden maskiert und durch den die Schicht 36 dotierenden Prozess nicht dotiert.
  • Unter Bezugnahme auf die 9, 9A, 9B, in denen gleiche Bezugszeichen sich auf gleiche Merkmale in den 8, 8A, 8B beziehen, und in einem nachfolgenden Fertigungsstadium, werden Source/Drain-Kontakte 40 in den Aussparungen 25 gebildet. Die Source/Drain-Kontakte 40 werden durch die Schicht 36 mit dem zweidimensionalen Material der Ersatz-Kanal-Schichten 34 gekoppelt. Die Source/Drain-Kontakte 40 können aus einem Metall, wie etwa Wolfram oder Titannitrid, zusammengesetzt sein, das abgeschieden und mit einem Rückätzprozess ausgespart wird. Das zweidimensionale Material in der Schicht 36, das dotiert sein kann, umhüllt jeden Source/Drain-Kontakt 40. Diese Beziehung maximiert den Kontaktbereich, was den Kontaktwiderstand reduzieren kann.
  • Die Ersatz-Kanal-Schichten 34 in jeder Finne 18 sind lateral zwischen unterschiedlichen Source/Drain-Kontakten 40 angeordnet, und sind mit diesen unterschiedlichen Source/Drain-Kontakten 40 gekoppelt. Die Mandrels 38 erstrecken sich in einer lateralen Richtung vollständig quer durch jede Finne 18 von einem der Source/Drain-Kontakte 40 zu einem anderen der Source/Drain-Kontakte 40, und die gegenüberliegenden Endoberflächen 37 jedes Mandrels 38 enden bei, und kontaktieren direkt, einen der Source/Drain-Kontakte 40. Die Endoberflächen 37 jedes Mandrels 38 sind frei von dem zweidimensionalen Material, was den direkten Kontakt und die elektrische Isolierung der unterschiedlichen Ersatz-Kanal-Schichten 34 in jeder Finne 18 erleichtert.
  • Nach dem Bilden der Source/Drain-Kontakte 40 kann die Schicht 36 unter Verwendung eines isotropen Ätzprozesses derart abgekanted werden, dass die unterschiedlichen Source/Drain-Kontakte 40 voneinander getrennt werden. Die Höhe der Source/Drain-Kontakte 40 kann den Abkantungsgrad der Schicht 36 bestimmen.
  • Eine dielektrische Zwischenlagenschicht 41 wird abgeschieden und planarisiert, um die Räume über den Source/Drain-Kontaten 40 zu füllen. Die dielektrische Zwischenlagenschicht 41 kann aus einem dielektrischen Material, wie etwa Siliziumdioxid, zusammengesetzt sein, das durch chemische Dampfabscheidung abgeschieden wurde, und kann durch chemisch-mechanisches Polieren planarisiert werden. Die Planarisierung kann die Hartmaskenkappen 24 entfernen, was die Opfer-Gate-Strukturen 22 für eine nachfolgende Entfernung öffnet.
  • Unter Bezugnahme auf die 10, 10A, 10B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 9, 9A, 9B beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Opfer-Gate-Strukturen 22 mit einem oder mehreren Ätzprozessen entfernt. Die Opferschichten 12 werden anschließend mit einem Ätzprozess entfernt, der das Material der Opferschichten 12 selektiv bezüglich der Materialien der Ersatz-Kanal-Schichten 34 und der inneren Spacer 30 entfernt.
  • In den Räumen, die durch die Entfernung der Opfer-Gate-Strukturen 22 und Opferschichten 12 geöffnet wurden, werden Gate-Strukturen 42 gebildet, um die Bildung eines Feldeffekttransistors in einem Ersatz-Gate-Prozess zu vervollständigen. Die Gate-Strukturen 42 können eine Gate-Dielektrikums-Schicht, die aus einem dielektrischen Material, wie etwa einen High-k-Dielektrikum, wie Hafniumoxid, zusammengesetzt ist, und eine Gate-Elektrode umfassen, die eine oder mehrere Barrierenmetallschichten und/oder Arbeitsfunktionsmetallschichten, wie etwa Titanaluminumkarbid oder Titannitrid, umfasst. Die Gate-Dielektrikums-Schicht ist zwischen der Gate-Elektrode und den Ersatz-Kanal-Schichten 34 angeordnet.
  • Die Ersatz-Kanal-Schichten 34 sind in einem vertikalen Stapel angeordnet, wobei jede Ersatz-Kanal-Schicht 34 um die Seitenoberflächen 39 von einem der Mandrels 38 gehüllt ist. Abschnitte 43 der Gate-Elektrode jeder Gate-Struktur 42 befinden sich in den Räumen, die früher durch die entfernten Opferschichten 12 besetzt waren. Jeder Gate-Elektroden-Abschnitt 43 kann einen Kanalbereich 70 von einer der Ersatz-Kanal-Schichten 34 und den zugehörigen Mandrel 38 in einer Gate-All-Around-Anordnung umhüllen.
  • Jede Ersatz-Kanal-Schicht 34 umfasst auch Erstreckungsbereiche 72, die sich an den entgegengesetzten lateralen Enden des Kanalbereichs 70 befinden. Der Kanalbereich 70 ist deshalb lateral zwischen den Erstreckungsbereichen 72 positioniert. Die Erstreckungsbereiche 72 koppeln gegenüberliegende Enden des Kanalbereichs 70 jeder Ersatz-Kanal-Schicht 34 mit einem der Source/Drain-Kontakte 40. Der Kanalbereich 70 und die Erstreckungsbereiche 72 jeder Ersatz-Kanal-Schicht 34 sind um alle der Seitenoberflächen 39 von einem der Mandrels 38 gehüllt (d.h. umgeben sie oder erstrecken sich vollständig um sie herum). Die Erstreckungsbereiche 72, sowie die jeweiligen lateralen Abschnitte des Mandrels 38, auf dem sich die Erstreckungsbereiche 72 befinden, erstrecken sich durch die Spalte zwischen angrenzenden inneren Spacern 30. Einer der inneren Spacer 30 ist in einer vertikalen Richtung zwischen den Erstreckungsbereichen 72 von angrenzenden Kanal-schichten 34 angeordnet.
  • Selbstausrichtende Kontaktkappen 44, die aus einem dielektrischen Material, wie etwa Siliziumnitrid, zusammengesetzt sind, werden in den Räumen über jeder der Gate-Strukturen 42 gebildet, die sich zwischen den Seitenwand-Spacern 26 befinden. Die dielektrische Zwischenlagenschicht 41 kann entfernt werden, um Räume über den Source/Drain-Kontakten 40 zu öffnen, und Graben-Silizid-Kontakte (nicht gezeigt) können in diesen geöffneten Räumen gebildet werden, die sich erstrecken, um die Source/Drain-Kontakte 40 zu kontaktieren.
  • Nachfolgend wird eine Middle-of-Line (MOL)- und Back-End-of-Line (BEOL)-Prozessierung verwendet, um eine Zwischenverbindungsstruktur mit Kontakten zu bilden, die mit dem Feldeffekttransistor gekoppelt sind.
  • Die Einführung des zweidimensionalen Materials in die Ersatz-Kanal-Schicht 34 ermöglicht die Bildung eines gestapelten zweidimensionalen Feldeffekttransistors, der durch eine verbesserte effektive Breite und verbesserte Prozessflexibilität gekennzeichnet ist. Die Verbesserung der Prozessflexibilität wird zumindest teilweise ermöglicht, da das zweidimensionale Material vor der Bildung der Source/Drain-Kontakte 40 sowie vor der Bildung der Gate-Strukturen 42 gebildet wird. Das zweidimensionale Material kann daher aufgrund eines gesenkten Risikos einer Metallinterdiffusion und eines gesenkten Risikos einer Beschädigung der Gate-Strukturen 42 mit einer aggressiveren thermischen Bilanz abgeschieden werden. Eine verbesserte elektrostatische Steuerung bezüglich der Ersatz-Kanal-Schichten 34, die durch das zweidimensionale Material ermöglicht wird, kann eine Abwärtsskalierung der Gate-Länge erlauben.
  • Die Anordnung der Schicht 36 und der Source/Drain-Kontakte 40 stellt einen Umhüllungskontakt (wrap-around-contact; WAC) bereit, der einen Kontaktwiderstand reduzieren kann. Weil die Source/Drain-Kontakte 40 kein epitaktisches Halbleitermaterial enthalten, wie bei konventionellen Feldeffekttransistoren, ist der Feldeffekttransistor umfassend die Ersatz-Kanal-Schichten des zweidimensionalen Materials ohne Übergang. Durch Anpassen der Dotierung des zweidimensionalen Materials in der Schicht 36 und des zum Bilden der Source/Drain-Kontakte 40 verwendeten Metalls können entweder n-Typ- oder p-Typ-Feldeffekttransistoren gebildet werden.
  • Unter Bezugnahme auf die 11, 11A, 11B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 4, 4A, 4B beziehen, und gemäß einer alternativen Ausführungsform, können die Nanosheet-Kanalschichten 10 in dem Schichtstapel mit einer reduzierten Dicke gebildet werden. Angrenzend an die Seitenwände der Finnen 18 können Spacer 50 gebildet sein. Die Spacer 50 können durch durch Abscheiden einer konformen Schicht, die aus einem dielektrischen Material, wie etwa Titanoxid, zusammengesetzt ist, und Ätzen der abgeschiedenen konformen Schicht mit einem anisotropen Ätzprozess, wie etwa reaktivem Ionenätzen, gebildet werden. Eine dielektrische Zwischenlagenschicht 52 wird abgeschieden und planarisiert, um die Räume zwischen den Finnen 18 und Opfer-Gate-Strukturen 22 zu füllen. Die dielektrische Zwischenlagenschicht 52 kann aus einem dielektrischen Material, wie etwa Siliziumdioxid, zusammengesetzt sein, das durch chemische Dampfabscheidung abgeschieden wurde, und kann durch chemisch-mechanisches Polieren planarisiert werden. Die Planarisierung kann die Hartmaskenkappen 24 entfernen, um die Opfer-Gate-Strukturen 22 zu öffnen.
  • Unter Bezugnahme auf die 12, 12A, 12B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 11, 11A, 11B beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Opfer-Gate-Strukturen 22 mit einem oder mehreren Ätzprozessen entfernt, um Räume 54 zu definieren. Die Opferschichten 12 werden anschließend mit einem Ätzprozess entfernt, der das Material der Opferschichten 12 selektiv bezüglich der Materialien der Ersatz-Kanal-Schichten 34 und der inneren Spacer 30 entfernt.
  • Mandrels 56 werden gebildet, die die durch die entfernten Opferschichten 12 freigemachten Räume füllen. Die Mandrels 56 können aus einem Low-k-DielektrikumsMaterial, wie etwa SiBCN, SiOC, oder SiOCN, zusammengesetzt sein, das durch Atomlagenabscheidung als Schicht konform abgeschieden und mit einem isotropen Ätzprozess geätzt wurde, um Abschnitte der zu den Räumen äußeren Schicht zu entfernen. Die abgeschiedene Schicht kann innerhalb der Räume abgeklemmt (pinched-off) sein, um die Mandrels 56 zu bilden. Die dielektrische Zwischenlagenschicht 52 blockiert die Abscheidung der Schicht zwischen den Opfer-Gate-Strukturen 22. Jeder Mandrel 56 umfasst gegenüberliegende Endoberflächen 57, die in einer lateralen Richtung relativ zueinander beabstandet sind, und Seitenoberflächen 59, die zwischen den gegenüberliegenden Endoberflächen positioniert sind. Die Endoberflächen 57 und Seitenoberflächen 59 sind ähnlich den Endoberflächen 37 und Seitenoberflächen 39 der Mandrels 38, wie zuvor beschrieben.
  • Unter Bezugnahme auf die 13, 13A, 13B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 12, 12A, 12B beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Nanosheet-Kanalschichten 10, wie vorstehend beschrieben, mit einem Ätzprozess vollständig entfernt, um die Räume 32 zu bilden, die sich quer durch die volle Breite der Finnen 18 und Seitenwand-Spacer 26 erstrecken. Die Mandrels 56 werden dann mit einem isotropen Ätzprozess verdünnt, der auch die Spacer 50 entfernt, um Räume 51 zu definieren, die in Verbindung mit den Räumen 32 stehen.
  • Unter Bezugnahma auf die 14, 14A, 14B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 13, 13A, 13B beziehen, und in einem nachfolgenden Fertigungsstadium, werden die Ersatz-Kanal-Schichten 34 und die Schicht 36, die aus einem zweidimensionalen Material zusammengesetzt sind, gebildet, wie vorstehend beschrieben. Bei der alternativen Ausführungsform werden die Mandrels 56 gebildet, bevor die Ersatz-Kanal-Schichten 34 und die Schicht 36 gebildet werden, und die Ersatz-Kanal-Schichten 34 werden auf den äußeren Oberflächen der Mandrels 56 und der inneren Spacer 30 gebildet. Die Beziehung zwischen den Ersatz-Kanal-Schichten 34 und den Seitenoberflächen 59 der Mandrels 56 ist ähnlich der Beziehung zwischen den Ersatz-Kanal-Schichten 34 und den Seitenoberflächen 39 der Mandrels 38, wie vorstehend beschrieben. Jedoch umgeben nicht die Erstreckungsbereiche 72 der Ersatz-Kanal-Schichten 34 die Mandrels 56. Stattdessen sind die Erstreckungsbereiche 72 der Ersatz-Kanal-Schichten 34 kurze Segmente, die die durch die Enfernung der Nanosheet-Kanalschichten 10 zwischen den inneren Spacern 30 gebildeten Räume 32 und die Räume 51 vollständig füllen. Die gegenüberliegenden Endoberflächen 57 jedes Mandrels 56 enden an einem, und kontaktieren direkt, einen der inneren Spacer 30.
  • Unter Bezugnahme auf die 15, 15A, 15B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 14, 14A, 14B beziehen, und in einem nachfolgenden Fertigungsstadium, werden Verbundstopfen 45 in den Räumen 32 zwischen den Mandrels 56 gebildet und können in direktem Kontakt mit den Ersatz-Kanal-Schichten 34 stehen. Die Verbundstopfen 45 können die konform abgeschiedene Gate-Dielektrikums-Schicht der Gate-Struktur 42, eine beispielsweise aus Titannitrid zusammengesetzte Deckschicht und einen beispielsweise aus einem p-Typ-Polisilizium zusammengesetzten zentralen Kern umfassen. Wenn die Verbundstopfen 42 vorhanden sind, kann ein Ausheilen durchgeführt werden, um die physikalischen Eigenschaften und die Zuverlässigkeit der Gate-Dielektrikums-Schicht zu verbessern.
  • In dem Raum über dem obersten Mandrel 56 sind Abschnitte des zweidimensionalen Materials der Schicht 36 exponiert und werden mit einem Ätzprozess entfernt. Die Entfernung dieser exponierten Abschnitte des zweidimensionalen Materials der Schicht 36 definiert eine Aussparung 57 über jedem der obersten inneren Spacer 30. Die Verbundstopfen 42 schützen die Ersatz-Kanal-Schichten 34 während der Bildung der Aussparungen 57.
  • Unter Bezugnahme auf die 16, 16A, 16B, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 15, 15A, 15B beziehen, und in einem nachfolgenden Fertigungsstadium, sind die Aussparungen 57 über den obersten inneren Spacern 30 mit einem dielektrischen Material gefüllt, das das gleiche dielektrische Material sein kann (z.B. Siliziumnitrid), das die inneren Spacer 30 darstellt. Die Deckschicht und der zentrale Kern der Verbundstopfen 45 werden anschließend mit einem Ätzprozess entfernt, der die Gate-Dielektrikums-Schicht der Gate-Strukturen 42 zurücklässt. Die Gate-Elektroden der Gate-Strukturen 42 werden gebildet, wie vorstehend beschrieben. Die Gate-ElektrodenAbschnitte 43 jeder Gate-Struktur 42 sind in den Räumen zwischen den Mandrels 56 positioniert und hüllen sich um die Kanalbereiche 70 der Ersatz-Kanal-Schichten 34 und der zugehörigen Mandrels 56. Dann werden die Gate-Elektroden der Gate-Strukturen 42 und die selbstausrichtenden Kontaktkappen 44 gebildet.
  • Die dielektrische Zwischenlagenschicht 52 wird entfernt, was die Schicht 36 exponiert. Das zweidimensionale Material in der Schicht 36 kann dann, wie vorstehend beschrieben, dotiert werden um seine elektrische Leitfähigkeit zu erhöhen. Dann werden die Source/Drain-Kontakte 40, die durch die Schicht 36 mit den Erstreckungsbereichen der Ersatz-Kanal-Schichten 34 gekoppelt sind, in den Aussparungen 25 gebildet.
  • Die oben beschriebenen Verfahren werden bei der Fertigung von Chips mit integriertem Schaltkreis verwendet. Die resultierenden Chips mit integriertem Schaltkreis können durch den Fertiger in Roh-Wafer-Form (das heißt, als einzelner Wafer, der mehrere ungehäuste Chips aufweist), als nackter Chip (bare die), oder in einer gehäusten Form vertrieben werden. Der Chip kann mit anderen Chips, diskreten Schaltelementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von entweder einem Zwischenprodukt oder einem Endprodukt integriert sein. Das Endprodukt kann irgendein Produkt sein, das Chips mit integriertem Schaltkreis umfasst, wie etwa Computerprodukte, die einen zentralen Prozessor aufweisen, oder Smartphones.
  • Bezugnahmen hierin auf Ausdrücke, die durch eine Annäherungssprache modifiziert sind, wie „etwa“, „ungefähr“, und „im Wesentlichen“, sollen nicht auf den spezifizierten präzisen Wert beschränkt sein. Die Annäherungssprache kann der Präzision eines Instruments entsprechen, das verwendet wird, um den Wert zu messen, und kann, falls nicht anderweitig abhängig von der Präzision des Instruments, +/- 10% des(der) angegebenen Werts(Werte) sein.
  • Bezugnahmen hierin auf Ausdrücke wie „vertikal“, „horizontal“, etc. erfolgen beispielhaft und nicht zur Beschränkung, um einen Referenzrahmen zu festzulegen. Der Ausdruck „horizontal“ wie hierin verwendet, ist als eine Ebene definiert, die parallel zu einer konventionellen Ebene eines Halbleitersubstrats ist, ungeachtet seiner tatsächlichen dreidimensionalen räumlichen Ausrichtung. Die Begriffe „vertikal“ and „normal“ beziehen sich auf eine Richtung, die senkrecht zur Horizontalen, wie gerade definiert, ist. Der Begriff „lateral“ bezieht sich auf eine Richtung innerhalb der horizontalen Ebene.
  • Ein Merkmal „verbunden“ oder „gekoppelt“ an ein anderes oder mit einem anderen Merkmal kann an das oder mit dem anderen Merkmal direkt verbunden oder gekoppelt sein oder stattdessen kann eines oder können mehrere dazwischenkommende Merkmale vorhanden sein. Ein Merkmal kann an ein anderes oder mit einem anderen Merkmal „direkt verbunden“ oder „direkt gekoppelt“ sein, falls dazwischenkommende Merkmale nicht vorhanden sind. Ein Merkmal kann an ein anderes oder mit einem anderen Merkmal „indirekt verbunden“ oder „indirekt gekoppelt“ sein, falls wenigstens ein dazwischenkommendes Merkmal vorhanden ist. Ein Merkmal „an“ einem anderen Merkmal oder es „kontaktierend“ kann direkt an oder in direktem Kontakt mit dem anderen Merkmal sein, oder stattdessen kann eines oder können mehrere dazwischenkommende Merkmale vorhanden sein. Ein Merkmal kann „direkt an“ oder in „direktem Kontakt“ mit einem anderen Merkmal sein, falls dazwischenkommende Merkmale nicht vorhanden sind. Ein Merkmal kann „indirekt an“ oder in „indirektem Kontakt“ mit einem anderen Merkmal sein, falls wenigstens ein dazwischenkommendes Merkmal vorhanden ist.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zum Zwecke der Veranschaulichung präsentiert, sollen aber nicht erschöpfend oder auf die offenbarten Ausführungsformen beschränkt sein. Viele Modifikationen und Variationen sind für die gewöhnlichen Fachleute offensichtlich, ohne dass vom Umfang und der Idee der beschriebenen Ausführungsformen abgewichen würde. Die hierin verwendete Terminologie wurde gewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung gegenüber auf dem Markt erhältlichen Technologien am besten zu erklären, oder es anderen gewöhnlichen Fachleuten zu ermöglichen, die hierin offenbarten Ausführungsformen zu verstehen.

Claims (20)

  1. Struktur für einen Feldeffekttransistor, wobei die Struktur umfasst: einen ersten Mandrel, der von einem dielektrischen Material umfasst ist, wobei der erste Mandrel eine erste Seitenoberfläche und eine zweite Seitenoberfläche umfasst; eine Gate-Elektrode, die einen Abschnitt aufweist, der um die erste Seitenoberfläche und die zweite Seitenoberfläche des ersten Mandrels gehüllt ist; und eine erste Kanalschicht umfassend einen Kanalbereich, wobei der Kanalbereich der ersten Kanalschicht teilweise zwischen der ersten Seitenoberfläche des ersten Mandrels und dem Abschnitt der Gate-Elektrode positioniert ist, wobei die erste Kanalschicht von einem zweidimensionalen Material umfasst ist.
  2. Struktur nach Anspruch 1, wobei der Kanalbereich der ersten Kanalschicht teilweise zwischen der zweiten Seitenoberfläche des ersten Mandrels und dem Abschnitt der Gate-Elektrode positioniert ist.
  3. Struktur nach Anspruch 2, wobei die erste Seitenoberfläche und die zweite Seitenoberfläche des ersten Mandrels in jeweiligen Ebenen enthalten sind, die im Wesentlichen parallel sind.
  4. Struktur nach Anspruch 1, wobei die erste Seitenoberfläche und die zweite Seitenoberfläche in einer Vielzahl von Seitenoberflächen der ersten Kanalschicht umfasst sind, und sich der Kanalbereich der ersten Kanalschicht um alle der Seitenoberflächen des ersten Mandrels erstreckt.
  5. Struktur nach Anspruch 1, ferner umfassend: einen zweiten Mandrel, der von dem dielektrischen Material umfasst ist, wobei der zweite Mandrel eine Seitenoberfläche umfasst, und der zweite Mandrel über dem ersten Mandrel angeordnet ist; and eine zweite Kanalschicht umfassend einen Kanalbereich, der teilweise zwischen der Seitenoberfläche des zweiten Mandrels und dem Abschnitt der Gate-Elektrode positioniert ist, wobei die zweite Kanalschicht von dem zweidimensionalen Material umfasst ist.
  6. Struktur nach Anspruch 5, wobei die erste Kanalschicht einen Erstreckungsbereich umfasst, die zweite Kanalschicht einen Erstreckungsbereich umfasst, und ferner umfassend: einen Source/Drain-Kontakt, der durch den Erstreckungsbereich der ersten Kanalschicht mit dem Kanalbereich der ersten Kanalschicht verbunden ist und durch den Erstreckungsbereich der zweiten Kanalschicht mit dem Kanalbereich der zweiten Kanalschicht verbunden ist.
  7. Struktur nach Anspruch 6, wobei der erste Mandrel und der zweite Mandrel jeweils an dem Source/Drain-Kontakt in direktem Kontakt mit dem Source/Drain-Kontakt enden, der Erstreckungsbereich der ersten Kanalschicht sich vollständig um den ersten Mandrel herum erstreckt, und der Erstreckungsbereich der zweiten Kanalschicht sich vollständig um den zweiten Mandrel herum erstreckt.
  8. Struktur nach Anspruch 7, ferner umfassend: einen inneren Spacer, der in einer ersten Richtung zwischen dem Abschnitt der Gate-Elektrode und dem Source/Drain-Kontakt positioniert ist, wobei der innere Spacer in einer zweiten Richtung zwischen dem Erstreckungsbereich der ersten Kanalschicht und dem Erstreckungsbereich der zweiten Kanalschicht positioniert ist.
  9. Struktur nach Anspruch 1, wobei die erste Kanalschicht einen ersten Erstreckungsbereich umfasst, und ferner umfassend: einen ersten Source/Drain-Kontakt, der durch den ersten Erstreckungsbereich der ersten Kanalschicht mit dem Kanalbereich der ersten Kanalschicht verbunden ist.
  10. Struktur nach Anspruch 9, wobei die erste Kanalschicht einen zweiten Erstreckungsbereich umfasst, der Kanalbereich der ersten Kanalschicht zwischen dem ersten Erstreckungsbereich und dem zweiten Erstreckungsbereich der ersten Kanalschicht positioniert ist, und ferner umfassend: einen zweiten Source/Drain-Kontakt, der durch den zweiten Erstreckungsbereich der ersten Kanalschicht mit dem Kanalbereich der ersten Kanalschicht verbunden ist.
  11. Struktur nach Anspruch 10, wobei die erste Seitenoberfläche und die zweite Seitenoberfläche in einer Vielzahl von Seitenoberflächen umfasst sind, und der Kanalbereich, der erste Erstreckungsbereich und der zweite Erstreckungsbereich der ersten Kanalschicht sich um alle der Seitenoberflächen des ersten Mandrels erstrecken.
  12. Struktur nach Anspruch 9, ferner umfassend: eine Schicht des zweidimensionalen Materials, die den ersten Erstreckungsbereich der ersten Kanalschicht mit dem ersten Source/Drain-Kontakt koppelt.
  13. Struktur nach Anspruch 12, wobei die Schicht des zweidimensionalen Materials einen Dotierstoff enthält, der effektiv zum Erhöhen einer elektrischen Leitfähigkeit des zweidimensionalen Materials ist.
  14. Struktur nach Anspruch 9, ferner umfassend: einen ersten inneren Spacer und einen zweiten inneren Spacer, die in einer ersten Richtung zwischen dem Abschnitt der Gate-Elektrode und dem ersten Source/Drain-Kontakt positioniert sind, wobei der erste Erstreckungsbereich in einer zweiten Richtung zwischen dem ersten inneren Spacer und dem zweiten inneren Spacer positioniert ist, und der erste Mandrel an dem ersten inneren Spacer und dem zweiten inneren Spacer endet.
  15. Struktur nach Anspruch 1, wobei das zweidimensionale Material ein Übergangsmetall-Dichalkogenid ist.
  16. Struktur nach Anspruch 1, wobei das zweidimensionale Material Molybdändisulfid, Hafniumdisulfid, Zirkondisulfid, Wolframdisulfid, Zinnsulfid, oder Wolframdiselenid ist.
  17. Verfahren zum Bilden eines Feldeffekttransistors, wobei das Verfahren umfasst: Bilden einer Kanalschicht umfassend einen Kanalbereich; Bilden eines dielektrischen Mandrels, der eine erste Seitenoberfläche und eine zweite Seitenoberfläche umfasst; und Bilden einer Gate-Elektrode, die einen Abschnitt aufweist, der um die erste Seitenoberfläche und die zweite Seitenoberfläche des dielektrischen Mandrels gehüllt ist, wobei der Kanalbereich der Kanalschicht teilweise zwischen der ersten Seitenoberfläche des dielektrischen Mandrels und dem Abschnitt der Gate-Elektrode positioniert ist, und die Kanalschicht von einem zweidimensionalen Material umfasst ist.
  18. Verfahren nach Anspruch 17, wobei die Kanalschicht gebildet wird, bevor der dielektrische Mandrel gebildet wird.
  19. Verfahren nach Anspruch 17, wobei die Kanalschicht gebildet wird, nachdem der dielektrische Mandrel gebildet wird.
  20. Verfahren nach Anspruch 17, wobei die erste Seitenoberfläche und die zweite Seitenoberfläche in einer Vielzahl von Seitenoberflächen der Kanalschicht umfasst sind, und der Kanalbereich der Kanalschicht sich um alle der Seitenoberflächen des dielektrischen Mandrels erstreckt.
DE102020126167.5A 2019-10-30 2020-10-07 Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel Pending DE102020126167A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/668,763 2019-10-30
US16/668,763 US11069819B2 (en) 2019-10-30 2019-10-30 Field-effect transistors with channel regions that include a two-dimensional material on a mandrel

Publications (1)

Publication Number Publication Date
DE102020126167A1 true DE102020126167A1 (de) 2021-05-06

Family

ID=75485768

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020126167.5A Pending DE102020126167A1 (de) 2019-10-30 2020-10-07 Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel

Country Status (4)

Country Link
US (1) US11069819B2 (de)
CN (1) CN112750908B (de)
DE (1) DE102020126167A1 (de)
TW (1) TWI758890B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11387319B2 (en) * 2019-09-11 2022-07-12 International Business Machines Corporation Nanosheet transistor device with bottom isolation
US11476333B2 (en) * 2020-03-31 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel structure
US11908950B2 (en) * 2020-06-15 2024-02-20 Intel Corporation Charge-transfer spacers for stacked nanoribbon 2D transistors
US11482423B2 (en) * 2021-01-28 2022-10-25 Tokyo Electron Limited Plasma etching techniques
US20230093343A1 (en) * 2021-09-23 2023-03-23 International Business Machines Corporation Stacked planar field effect transistors with 2d material channels
US11935930B2 (en) 2021-11-30 2024-03-19 International Business Machines Corporation Wrap-around-contact for 2D-channel gate-all-around field-effect-transistors

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337210B2 (en) * 2013-08-12 2016-05-10 Micron Technology, Inc. Vertical ferroelectric field effect transistor constructions, constructions comprising a pair of vertical ferroelectric field effect transistors, vertical strings of ferroelectric field effect transistors, and vertical strings of laterally opposing pairs of vertical ferroelectric field effect transistors
US9711647B2 (en) 2014-06-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Thin-sheet FinFET device
US9425324B2 (en) * 2014-09-30 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and channel structure thereof
US9627395B2 (en) * 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US9852923B2 (en) * 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US9461110B1 (en) * 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
CN107735864B (zh) 2015-06-08 2021-08-31 美商新思科技有限公司 衬底和具有3d几何图形上的2d材料沟道的晶体管
KR102465353B1 (ko) * 2015-12-02 2022-11-10 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 소자
US10134915B2 (en) 2016-12-15 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D material transistor with vertical structure
US10651291B2 (en) * 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
KR102608959B1 (ko) * 2017-09-04 2023-12-01 삼성전자주식회사 2차원 물질을 포함하는 소자
CN109473398B (zh) * 2017-09-07 2022-06-07 联华电子股份有限公司 半导体元件及其制造方法
US10290681B2 (en) * 2017-09-21 2019-05-14 Sandisk Technologies Llc Array of hole-type surround gate vertical field effect transistors and method of making thereof
US10734525B2 (en) * 2018-03-14 2020-08-04 Globalfoundries Inc. Gate-all-around transistor with spacer support and methods of forming same
US10388732B1 (en) 2018-05-30 2019-08-20 Globalfoundries Inc. Nanosheet field-effect transistors including a two-dimensional semiconducting material
US11888034B2 (en) * 2019-06-07 2024-01-30 Intel Corporation Transistors with metal chalcogenide channel materials

Also Published As

Publication number Publication date
US11069819B2 (en) 2021-07-20
TWI758890B (zh) 2022-03-21
US20210135015A1 (en) 2021-05-06
CN112750908B (zh) 2023-10-24
TW202118068A (zh) 2021-05-01
CN112750908A (zh) 2021-05-04

Similar Documents

Publication Publication Date Title
DE102019205650B4 (de) Struktur für einen Feldeffekttransistor und Verfahren
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102018218518B4 (de) Epitaktische Strukturen in komplementären Feldeffekttransistoren
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102020126167A1 (de) Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE112012001220B4 (de) Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102019116859A1 (de) Verfahren zum herstellen einer halbleitervorrichtung, und eine halbleitervorrichtung
DE102019123629B4 (de) Gate-all-around-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102018100297A1 (de) FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102019206113A1 (de) Feldeffekttransistoren mit multiplen Gatelängen
DE102019119806A1 (de) Verfahren zum herstellen eines feldeffekttransistors mit kohlenstoff-nanoröhren und ein feldeffekttransistor
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., MALTA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: V. FUENER EBBINGHAUS FINCK HANO, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., MALTA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, CA, US

R016 Response to examination communication