DE112012001220B4 - Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden - Google Patents

Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden Download PDF

Info

Publication number
DE112012001220B4
DE112012001220B4 DE112012001220.8T DE112012001220T DE112012001220B4 DE 112012001220 B4 DE112012001220 B4 DE 112012001220B4 DE 112012001220 T DE112012001220 T DE 112012001220T DE 112012001220 B4 DE112012001220 B4 DE 112012001220B4
Authority
DE
Germany
Prior art keywords
structures
parallel
partially removable
conductive material
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112012001220.8T
Other languages
English (en)
Other versions
DE112012001220T5 (de
Inventor
Ali Khakifirooz
Wilfried E. Haensch
Balasubramanian S. Haran
Kangguo Cheng
Bruce B. Doris
Pranita Kulkarni
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112012001220T5 publication Critical patent/DE112012001220T5/de
Application granted granted Critical
Publication of DE112012001220B4 publication Critical patent/DE112012001220B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Halbleiterstruktur, die eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen aufweist, die parallele Seitenwände aufweisen und sich auf einem Halbleitersubstrat 8 befinden und ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweisen, wobei: eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (76, 80, 36, 38, 73) ein U-förmiges Gate-Dielektrikum 80 und einen metallischen Gate-Leiter-Elektroden-Anteil 76 beinhaltet, der ein metallisches Material aufweist; und eine weitere der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen eine Kontakt-Durchkontakt-Struktur 73 beinhaltet, die das metallische Material aufweist und mit einem von einem Source-Bereich und einem Drain-Bereich 34 eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet; und ein Abstand zwischen einer Außenwand des U-förmigen Gate-Dielektrikums und einer Seitenwand der Kontakt-Durchkontakt-Struktur gleich dem konstanten Rastermaß ist.

Description

  • HINTERGRUND
  • Die vorliegende Offenbarung bezieht sich auf eine Halbleiterstruktur, die lokale, zu Gate-Strukturen selbstjustierte Zwischenverbindungsstrukturen beinhaltet, sowie auf Verfahren zum Fertigen derselben.
  • Mit einem Schrumpfen der Transistorabmessungen wird es entscheidender, verschiedene Elemente einer Einheit mit einer präzisen Überlagerung zu bilden. Insbesondere ist es sehr entscheidend, die Kontakte mit einer präzisen Überlagerung zu bilden, um einen möglichen Kurzschluss oder mögliche Stromkreisunterbrechungen zu vermeiden. Es wurde eine selbstjustierte Kontaktbildung vorgeschlagen, um diese Anforderung anzugehen. Bei herkömmlichen Vorgehensweisen für selbstjustierte Kontakte sind wenigstens zwei separate Masken notwendig, um die separaten Muster für Gate-Strukturen und lokale Zwischenverbindungsstrukturen zu definieren. So ist die Justierung von lokalen Zwischenverbindungsstrukturen zu Gate-Strukturen bei herkömmlichen Vorgehensweisen zur Selbstjustierung inhärenten Überlagerungsabweichungen ungleich Null unterworfen.
  • Für statische Speicher-Einheiten mit wahlfreiem Zugriff (SRAM-Einheiten) verwenden herkömmliche SRAM-Zellen L-förmige Kontaktstrukturen, um die Fläche zu minimieren. Mit einem Herunterskalieren der Abmessungen wird es jedoch schwieriger, derartige Strukturen zu drucken. Bei Fertigungsprozessen des Standes der Technik, die gegenwärtig eingesetzt werden, sind mehrere Belichtungen erforderlich, um die L-förmige Struktur zu bilden. Darüber hinaus verhindert die unregelmäßige Form der L-förmigen Struktur die Verwendung eines Seitenwandbildtransfers (SIT, Sidewall Image Transfer) oder einer gerichteten Selbstmontage, die für zukünftige Technologieknoten geeigneter als herkömmliche Lithographie sind.
  • Der Stand der Technik umfasst parallele Halbleiter-Streifenstrukturen mit elektrischen Verbindungsbrücken für die Verwendung in SRAM-Bausteinen sowie Methoden zu deren Herstellung ( US 2008/0251934 A1 ).
  • KURZDARSTELLUNG
  • Es wird ein üblicher Strukturierungsschritt eingesetzt, um ein Gate-Muster und ein lokales Zwischenverbindungsmuster derart zu definieren, dass lokale Zwischenverbindungsstrukturen und Gate-Strukturen mit einer Überlagerungsabweichung von Null relativ zu einer anderen gebildet werden. Die Gate-Muster und die lokalen Zwischenverbindungsmuster werden vorzugsweise mit einem Prozess definiert, der parallele Linien mit einem konstanten Abstand bildet, und dann wird eine Schnittmaske und ein Lithographie-Schritt verwendet, um die unerwünschten Anteile der parallelen Linien zu entfernen. Verfahren, wie ein Seitenwandbildtransfer (SIT) oder eine gerichtete Selbstmontage, sind zum Bilden derartiger paralleler Linien gut geeignet. Eine lokale Zwischenverbindungsstruktur kann von einer Gate-Struktur in einer ersten horizontalen Richtung lateral beabstandet sein und in Kontakt mit einer weiteren Gate-Struktur in einer zweiten horizontalen Richtung sein, die sich von der ersten horizontalen Richtung unterscheidet. Des Weiteren kann eine Gate-Struktur so gebildet sein, dass sie kollinear mit einer lokalen Zwischenverbindungsstruktur ist, die an die Gate-Struktur angrenzt. Die lokalen Zwischenverbindungsstrukturen und die Gate-Strukturen werden mittels eines üblichen Damascene-Prozessschritts derart gebildet, dass die Oberseiten der Gate-Strukturen und der lokalen Zwischenverbindungsstrukturen koplanar zueinander sind.
  • Gemäß einem Aspekt der vorliegenden Offenbarung wird eine Halbleiterstruktur bereitgestellt, die eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen beinhaltet, die parallele Seitenwände aufweisen und sich auf einem Halbleitersubstrat befinden und ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweisen. Eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen beinhaltet ein U-förmiges Gate-Dielektrikum und einen metallischen Gate-Leiter-Elektroden-Anteil, der ein metallisches Material beinhaltet, und eine weitere der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen beinhaltet eine Kontakt-Durchkontakt-Struktur, die das metallische Material beinhaltet und mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet. Ein Abstand zwischen einer Außenwand des U-förmigen Gate-Dielektrikums und einer Seitenwand der Kontakt-Durchkontakt-Struktur ist gleich dem konstanten Rastermaß.
  • Gemäß einem weiteren Aspekt der vorliegenden Offenbarung wird eine statische Speicherzelle mit wahlfreiem Zugriff (SRAM-Zelle) bereitgestellt. Die SRAM-Zelle beinhaltet eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen, die parallele Seitenwände aufweisen und sich auf einem Halbleitersubstrat befinden und ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweisen. Eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen beinhaltet einen metallischen Gate-Leiter-Elektroden-Anteil, der ein metallisches Material beinhaltet. Eine weitere der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen beinhaltet eine Kontakt-Durchkontakt-Struktur, die das metallische Material beinhaltet und mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen ist in fünf Zeilen mit dem konstanten Rastermaß angeordnet. Zwei der fünf Zeilen beinhalten eine Struktur, die vier aktive Bereiche überlagert. Jene Struktur beinhaltet eine Gate-Leiter-Elektrode und eine Kontakt-Durchkontakt-Struktur, die leitfähig miteinander verbunden sind und von einem integralen Aufbau sind (d. h. ein einziges zusammenhängendes Stück).
  • Gemäß noch einem weiteren Aspekt der vorliegenden Offenbarung wird ein Verfahren zum Bilden einer Halbleiterstruktur bereitgestellt, das beinhaltet: Bilden einer Vielzahl von wenigstens teilweise entfernbaren Strukturen mit parallelen Seitenwänden auf einem Halbleitersubstrat, wobei die Vielzahl von wenigstens teilweise entfernbaren Strukturen ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweist; Bilden und Planarisieren einer Schicht aus dielektrischem Material, wobei eine Oberseite der planarisierten Schicht aus dielektrischem Material koplanar mit Oberseiten der Vielzahl von wenigstens teilweise entfernbaren Strukturen ist; Bilden eines metallischen Gate-Leiter-Elektroden-Anteils, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch ein metallisches Material ersetzt wird; und Bilden einer Kontakt-Durchkontakt-Struktur, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch das metallische Material ersetzt wird, wobei die Kontakt-Durchkontakt-Struktur mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet.
  • Gemäß noch einem weiteren Aspekt der vorliegenden Offenbarung wird ein Verfahren zum Bilden einer Halbleiterstruktur bereitgestellt, die eine statische Speicherzelle mit wahlfreiem Zugriff (SRAM-Zelle) beinhaltet. Das Verfahren beinhaltet: Bilden einer Vielzahl von wenigstens teilweise entfernbaren Strukturen mit parallelen Seitenwänden auf einem Halbleitersubstrat, wobei die Vielzahl von wenigstens teilweise entfernbaren Strukturen ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweist; Bilden und Planarisieren einer Schicht aus dielektrischem Material, wobei eine Oberseite der planarisierten Schicht aus dielektrischem Material koplanar mit Oberseiten der Vielzahl von wenigstens teilweise entfernbaren Strukturen ist; Bilden eines metallischen Gate-Leiter-Elektroden-Anteils, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch ein metallisches Material substituiert wird; und Bilden einer Kontakt-Durchkontakt-Struktur, die das metallische Material beinhaltet, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen substituiert wird. Die Kontakt-Durchkontakt-Struktur ist mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden, der sich auf dem Halbleitersubstrat befindet. Es werden mehrere parallele, ein leitfähiges Material beinhaltende Strukturen gebildet, die in fünf Zeilen mit dem konstanten Rastermaß angeordnet sind. Zwei der fünf Zeilen beinhalten eine Struktur, die vier aktive Bereiche überlagert. Die Struktur beinhaltet eine Gate-Leiter-Elektrode und eine Kontakt-Durchkontakt-Struktur, die leitfähig miteinander verbunden sind und von einem integralen Aufbau sind. Die mehreren parallelen, ein leitfähiges Material beinhaltenden Strukturen fungieren als Gate-Elektroden und lokale Zwischenverbindungsstrukturen einer SRAM-Zelle.
  • KURZBESCHREIBUNG DER MEHREREN ANSICHTEN DER ZEICHNUNEN
  • In den 1A bis 16B entsprechen Figuren mit der gleichen vorangestellten numerischen Kennzeichnung dem gleichen Prozessschritt. Figuren mit der nachgestellten Kennzeichnung ”A” sind Ansichten von oben nach unten, und Figuren mit der nachgestellten Kennzeichnung ”B” sind vertikale Querschnittansichten entlang der vertikalen Ebene X-X' in der Figur mit der gleichen vorangestellten numerischen Kennzeichnung und der nachgestellten Kennzeichnung ”A”,
  • 1A und 1B stellen eine erste exemplarische Halbleiterstruktur nach der Bildung einer Vielzahl von wenigstens teilweise entfernbaren Strukturen gemäß einer ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 2A und 2B stellen die erste exemplarische Halbleiterstruktur nach dem Strukturieren der Vielzahl von wenigstens teilweise entfernbaren Strukturen dar, wobei eine Schnittmaske und ein Ätzvorgang gemäß der ersten Ausführungsform der vorliegenden Offenbarung eingesetzt werden.
  • 3A und 3B stellen die erste exemplarische Halbleiterstruktur nach der Entfernung eines strukturierten Photoresists gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 4A und 4B stellen die erste exemplarische Halbleiterstruktur nach der Bildung von Source- und Drain-Erweiterungsbereichen gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 5A und 5B stellen die erste exemplarische Halbleiterstruktur nach dem Bilden und Planarisieren einer Schicht aus dielektrischem Material auf Kontaktebene gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 6A und 6B stellen die erste exemplarische Halbleiterstruktur nach dem Entfernen einer zweiten Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen zur Bildung von ersten Hohlräumen gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 7A und 7B stellen die erste exemplarische Halbleiterstruktur nach der Bildung von Source- und Drain-Bereichen und erhöhten Source-/Drain-Bereichen gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 8A und 8B stellen die erste exemplarische Halbleiterstruktur nach der teilweisen Entfernung einer ersten Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen sowie der Bildung von Gate-Metall-Halbleiter-Legierungs-Anteilen und von Kontakt-Metall-Halbleiter-Legierungs-Anteilen gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 9A und 9B stellen die erste exemplarische Halbleiterstruktur nach der Bildung einer Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen gemäß der ersten Ausführungsform der vorliegenden Offenbarung dar.
  • 10A und 10B stellen eine zweite exemplarische Halbleiterstruktur nach dem Entfernen einer Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen zur Bildung von Hohlräumen gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 11A und 11B stellen die zweite exemplarische Halbleiterstruktur nach der Bildung einer Gate-Dielektrikumschicht und einer Austrittsarbeitsmetallschicht gemäß der zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 12A und 12B stellen die zweite exemplarische Halbleiterstruktur nach dem Entfernen einer weiteren Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen zur Bildung weiterer Hohlräume gemäß der zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 13A und 13B stellen die zweite exemplarische Halbleiterstruktur nach der Bildung von Source- und Drain-Bereichen gemäß der zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 14A und 14B stellen die zweite exemplarische Halbleiterstruktur nach der Bildung von erhöhten Source-/Drain-Bereichen gemäß der zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 15A und 15B stellen die zweite exemplarische Halbleiterstruktur nach der Bildung von Kontakt-Metall-Halbleiter-Legierungs-Anteilen gemäß der zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 16A und 16B stellen die zweite exemplarische Halbleiterstruktur nach der Bildung einer Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen gemäß der zweiten Ausführungsform der vorliegenden Offenbarung dar.
  • 17 ist eine Ansicht von oben nach unten auf eine dritte exemplarische Halbleiterstruktur nach dem Strukturieren von aktiven Flächen und flachen Grabenisolationsstrukturen gemäß einer dritten Ausführungsform der vorliegenden Offenbarung.
  • 18 ist eine Ansicht von oben nach unten auf die dritte exemplarische Halbleiterstruktur nach der Bildung einer Vielzahl von wenigstens teilweise entfernbaren Strukturen gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
  • 19 ist eine Ansicht von oben nach unten auf die dritte exemplarische Halbleiterstruktur nach dem Strukturieren der Vielzahl von wenigstens teilweise entfernbaren Strukturen gemäß der dritten Ausführungsform der vorliegenden Offenbarung, wobei eine Schnittmaske und ein Ätzvorgang eingesetzt werden.
  • 20 ist eine Ansicht von oben nach unten auf die dritte exemplarische Halbleiterstruktur nach der Bildung einer Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen gemäß der dritten Ausführungsform der vorliegenden Offenbarung, wobei die Prozessschritte in den 4A bis 9B eingesetzt werden.
  • 21 ist eine Ansicht von oben nach unten auf eine erste Variation der dritten exemplarischen Halbleiterstruktur nach der Bildung einer Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen gemäß der dritten Ausführungsform der vorliegenden Offenbarung, wobei die Prozessschritte in den 10A bis 16B eingesetzt werden.
  • 22 ist eine Ansicht von oben nach unten auf die dritte exemplarische Halbleiterstruktur von 20 gemäß der dritten Ausführungsform der vorliegenden Offenbarung mit gestrichelten Linien, welche die Orte von Metallleitungen einer ersten Ebene anzeigen.
  • 23 ist eine Ansicht von oben nach unten auf die dritte exemplarische Halbleiterstruktur von 20 gemäß der dritten Ausführungsform der vorliegenden Offenbarung mit durchgezogenen Linien, welche die Orte von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene anzeigen.
  • 24 ist eine Ansicht von oben nach unten auf eine zweite Variation der dritten exemplarischen Halbleiterstruktur gemäß der dritten Ausführungsform der vorliegenden Offenbarung mit gestrichelten Linien, welche die Orte von Metallleitungen einer ersten Ebene anzeigen, und mit durchgezogenen Linien, welche die Orte von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene anzeigen.
  • 25 ist eine Ansicht von oben nach unten auf eine dritte Variation der dritten exemplarischen Halbleiterstruktur gemäß der dritten Ausführungsform der vorliegenden Offenbarung mit gestrichelten Linien, welche die Orte von Metallleitungen einer ersten Ebene anzeigen, und mit durchgezogenen Linien, welche die Orte von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene anzeigen.
  • 26 ist eine Ansicht von oben nach unten auf eine vierte Variation der dritten exemplarischen Halbleiterstruktur gemäß der dritten Ausführungsform der vorliegenden Offenbarung mit gestrichelten Linien, welche die Orte von Metallleitungen einer ersten Ebene anzeigen, und mit durchgezogenen Linien, welche die Orte von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene anzeigen.
  • 27 ist eine Ansicht von oben nach unten auf eine fünfte Variation der dritten exemplarischen Halbleiterstruktur gemäß der dritten Ausführungsform der vorliegenden Offenbarung mit gestrichelten Linien, welche die Orte von Metallleitungen einer ersten Ebene anzeigen, und mit durchgezogenen Linien, welche die Orte von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene anzeigen.
  • 28 ist eine schematische vertikale Querschnittansicht einer exemplarischen Halbleiterstruktur, welche die vertikalen Orte von Metallleitungen einer ersten Ebene, von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene relativ zu darunterliegenden Strukturen in einem Gebiet darstellt, in dem mehrere parallele, ein leitfähiges Material beinhaltende Strukturen mit einem konstanten Rastermaß beabstandet sind.
  • 29 ist eine weitere schematische vertikale Querschnittansicht der exemplarischen Halbleiterstruktur, welche die vertikalen Orte von Metallleitungen einer ersten Ebene, von Metall-Durchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene relativ zu darunterliegenden Strukturen in einem Gebiet darstellt, in dem eine flache Grabenisolationsstruktur benachbart zu mehreren parallelen, ein leitfähiges Material beinhaltenden Strukturen vorhanden ist, die mit einem konstanten Rastermaß beabstandet sind.
  • DETAILLIERTE BESCHREIBUNG
  • Wie vorstehend angegeben, bezieht sich die vorliegende Offenbarung auf eine Halbleiterstruktur, die lokale, zu Gate-Strukturen selbstjustierte Zwischenverbindungsstrukturen beinhaltet, sowie auf Verfahren zum Fertigen derselben, die nunmehr detailliert mit begleitenden Figuren beschrieben werden. Es ist anzumerken, dass gleiche und entsprechende Elemente, die hierin erwähnt und in den Zeichnungen dargestellt sind, mit gleichen Bezugszeichen bezeichnet sind.
  • Bezugnehmend auf die 1A und 1B beinhaltet eine erste exemplarische Halbleiterstruktur ein Halbleitersubstrat 8, das eine Halbleiterschicht 10 und flache Grabenisolationsbereiche 12 darin beinhaltet. Die Halbleiterschicht 10 beinhaltet ein Halbleitermaterial, wie Silicium, eine Silicium enthaltende Legierung, eine Germanium enthaltende Legierung, einen III-V-Verbindungshalbleiter oder einen II-IV-Halbleiter. Die Gesamtheit der Halbleiterschicht 10 ist vorzugsweise einkristallin. Das Halbleitersubstrat 8 kann ein Volumensubstrat, ein Halbleiter-auf-Isolator(SOI)-Substrat oder ein Hybrid-Substrat sein, das einen Volumenanteil und einen SOI-Anteil beinhaltet. Wenn das Halbleitersubstrat 8 ein SOI-Substrat oder ein Hybrid-Substrat ist, beinhaltet das Halbleitersubstrat 8 eine vergrabene Isolatorschicht (nicht gezeigt) oder einen vergrabenen Isolatoranteil (nicht gezeigt), der sich auf einer Unterseite der flachen Grabenisolationsstrukturen 12 befindet, und die Halbleiterschicht 10 kann vertikal zwischen den Oberseiten und den Unterseiten der Isolationsstrukturen 20 eingeschlossen sein.
  • Auf der Oberfläche des Halbleitersubstrats 10 ist ein teilweise entfernbarer Materialstapel ausgebildet. Der teilweise entfernbare Materialstapel kann einen Stapel aus, von unten nach oben, einer Gate-Dielektrikumschicht, einer Gate-Elektrodenschicht und einer dielektrischen Gate-Deckschicht beinhalten. Die Gate-Dielektrikumschicht kann zum Beispiel Siliciumoxid oder ein anderes dielektrisches Material beinhalten, das selektiv bezüglich des Halbleitermaterials der Halbleiterschicht 10 geätzt werden kann. Die Dicke der Gate-Dielektrikumschicht kann zwischen 1 nm und 30 nm liegen, und typischerweise zwischen 1 nm und 5 nm, wenngleich auch geringere und größere Dicken eingesetzt werden können. Die Gate-Elektrodenschicht kann Polysilicium oder eine Silicium enthaltende Halbleiterlegierung beinhalten, wie eine Silicium-Germanium-Legierung. Alternativ kann die Gate-Elektrodenschicht eine Metallschicht, wie TiN, TaN, W oder eine Kombination dieser Materialien, und einen optionalen, Polysilicium oder Silicium enthaltenden Halbleiter beinhalten, der die Metallschicht überlagert. Die Dicke der Gate-Elektrodenschicht kann zwischen 20 nm und 200 nm liegen, und typischerweise zwischen 20 nm und 100 nm, wenngleich auch geringere und größere Dicken eingesetzt werden können. Die dielektrische Gate-Deckschicht kann ein dielektrisches Material beinhalten, wie Siliciumnitrid, Siliciumoxid, Siliciumoxynitrid oder eine Kombination derselben. Die Dicke der dielektrischen Gate-Deckschicht kann zwischen 10 nm und 300 nm liegen, und typischerweise zwischen 30 nm und 150 nm, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Der teilweise entfernbare Materialstapel wird strukturiert, um eine Vielzahl von wenigstens teilweise entfernbaren Strukturen zu bilden. Jede der wenigstens teilweise entfernbaren Strukturen beinhaltet einen Stapel aus, von unten nach oben, einem verbleibenden Anteil der Gate-Dielektrikumschicht, einem verbleibenden Anteil der Gate-Elektrodenschicht und einem verbleibenden Anteil der dielektrischen Gate-Deckschicht.
  • Die Vielzahl von wenigstens teilweise entfernbaren Strukturen kann in drei Gruppen unterteilt werden. Eine erste Gruppe beinhaltet wenigstens teilweise entfernbare Strukturen, die nachfolgend wenigstens teilweise durch Ersatzmaterialien ersetzt werden, um Gate-Elektroden zu bilden. Eine zweite Gruppe beinhaltet wenigstens teilweise entfernbare Strukturen, die nachfolgend wenigstens teilweise durch Ersatzmaterialien ersetzt werden, um Kontakt-Durchkontakt-Strukturen zu bilden. Eine dritte Gruppe beinhaltet wenigstens teilweise entfernbare Strukturen, die nicht eingesetzt werden, um entweder Gate-Elektroden oder Kontakt-Durchkontakt-Strukturen zu bilden. So beinhaltet die Vielzahl von wenigstens teilweise entfernbaren Strukturen Muster, die zur Bildung von Gate-Elektroden und Kontakt-Durchkontakt-Strukturen einzusetzen sind. Wenngleich jede der wenigstens teilweise entfernbaren Strukturen, die in den 1A und 1B dargestellt sind, als eine eigenständige Struktur gezeigt ist, die nicht an irgendeine andere wenigstens teilweise entfernbare Struktur angrenzt, können in irgendeiner Ausführungsform verschiedene Anteile der gleichen zusammenhängenden, wenigstens teilweise entfernbaren Struktur zur Bildung von Gate-Elektroden und Kontakt-Durchkontakt-Strukturen eingesetzt werden. In einer derartigen Ausführungsform kann ein erster Anteil einer einzelnen, wenigstens teilweise entfernbaren Struktur zu der ersten Gruppe gehören, und ein zweiter Anteil der gleichen einzelnen wenigstens teilweise entfernbaren Struktur kann zu der zweiten Gruppe gehören.
  • Jede wenigstens teilweise entfernbare Struktur in der ersten Gruppe beinhaltet einen vertikalen Stapel aus einem Gate-Dielektrikum 50A, einem Gate-Elektroden-Anteil 52A und einer dielektrischen Gate-Abdeckung 54A. Jede wenigstens teilweise entfernbare Struktur in der zweiten Gruppe beinhaltet einen vertikalen Stapel aus einem entfernbaren dielektrischen Anteil 50B, einem entfernbaren Elektroden-Anteil 52B und einem entfernbaren dielektrischen Abdeck-Anteil 54B. Jede wenigstens teilweise entfernbare Struktur in der dritten Gruppe beinhaltet einen vertikalen Stapel aus einem dielektrischen Dummy-Anteil 50C, einem Dummy-Elektroden-Anteil 52C und einem dielektrischen Dummy-Abdeck-Anteil 54C.
  • Die Vielzahl von wenigstens teilweise entfernbaren Strukturen weist parallele Seitenwände auf, die in der vertikalen Richtung innerhalb der Ebene, die 1A beinhaltet (d. h. innerhalb der Ebene des Papiers oder der Ebene des elektronischen Anzeigeschirms, die 1A zeigt) und in der horizontalen Richtung senkrecht zu der Ebene liegen, die 1B beinhaltet. Die Vielzahl von wenigstens teilweise entfernbaren Strukturen weist ein konstantes Rastermaß P in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden auf. Wie hierin verwendet, weisen mehrere Komponenten einer Struktur ein ”konstantes Rastermaß” auf, wenn ein Bereich existiert, in dem die mehreren Komponenten in einer Richtung periodisch sind und die Periodizität invariant, d. h. konstant, bei Translation in einer Richtung senkrecht zu der Richtung der Periodizität ist. Eine Seitenwand von einem von einem Gate-Dielektrikum 50A, einem entfernbaren dielektrischen Anteil 50B und einem dielektrischen Dummy-Anteil 50C, eine Seitenwand von einem von einem Gate-Elektroden-Anteil 52A, einem entfernbaren Elektroden-Anteil 52B, einem Dummy-Elektrodenanteil 52C und eine Seitenwand von einem von einer dielektrischen Gate-Abdeckung 54A, einem entfernbaren dielektrischen Abdeck-Anteil 54B und einem dielektrischen Dummy-Abdeck-Anteil 54C sind innerhalb von jeder der parallelen Seitenwände der Vielzahl von wenigstens teilweise entfernbaren Strukturen vorhanden. Jede der Vielzahl von wenigstens teilweise entfernbaren Strukturen weist eine konstante Breite W in der Richtung des konstanten Rastermaßes P auf. Wie hierin verwendet, weisen mehrere Komponenten einer Struktur eine ”konstante Breite” auf, wenn ein Bereich existiert, in dem jede der mehreren Komponenten ungeachtet der Auswahl einer Komponente, die zu messen ist, und ungeachtet des Ortes, der für die Messung ausgewählt ist, die gleiche Breite aufweist. Da die Vielzahl von wenigstens teilweise entfernbaren Strukturen das gleiche konstante Rastermaß P aufweist und jede der Vielzahl von wenigstens teilweise entfernbaren Strukturen die gleiche Breite W aufweist, ist jede der Vielzahl von wenigstens teilweise entfernbaren Strukturen selbstjustiert zu einer anderen in einer eindimensionalen Anordnung.
  • Das Strukturieren der Vielzahl von wenigstens teilweise entfernbaren Strukturen kann unter Einsetzen irgendeines auf dem Fachgebiet bekannten Verfahrens zum Strukturieren einer eindimensionalen periodischen Struktur bewerkstelligt werden. Zum Beispiel kann herkömmliche Beugungs-Lithographie zum Drucken einer eindimensionalen periodischen Anordnung von Linien in Kombination mit einem anisotropen Ätzvorgang eingesetzt werden. Wechselweise kann auch eine gerichtete Selbstmontage und ein nachfolgender Mustertransfer durch einen anisotropen Ätzvorgang eingesetzt werden. Noch wechselweise kann auch ein Seitenwand-Bild-Transfer(SIT)-Prozess oder irgendein anderer äquivalenter, auf dem Fachgebiet bekannter Prozess eingesetzt werden. Die Vielzahl von wenigstens teilweise entfernbaren Strukturen ist typischerweise als dicht gepackte periodische parallele Linien mit einer linealen Dichte gebildet, die höher als das ist, was mit herkömmlicher Lithographie für willkürliche Muster erreichbar ist.
  • Bezugnehmend auf die 2A und 2B wird ein erstes Photoresist 17 an der Oberseite der ersten exemplarischen Halbleiterstruktur der 1A und 1B angebracht und wird lithographisch strukturiert, um Gebiete in der Vielzahl von wenigstens teilweise entfernbaren Strukturen zu definieren, die zu schützen sind. Die Anteile der Vielzahl von wenigstens teilweise entfernbaren Strukturen, die nicht durch das strukturierte erste Photoresist 17 geschützt sind, werden mittels eines anisotropen Ätzvorgangs entfernt. Das strukturierte erste Photoresist 17 wird als eine Ätzmaske für den anisotropen Ätzvorgang eingesetzt. Die Maske, die zum Strukturieren des ersten Photoresists 17 eingesetzt wird, wird als eine ”'Schnittmaske” bezeichnet, da die Anteile der Vielzahl von wenigstens teilweise entfernbaren Strukturen, die nicht durch das erste Photoresist 17 geschützt sind, mittels eines anisotropen Ätzvorgangs entfernt werden, wie eines reaktiven Ionenätzvorgangs, und die verbleibenden Anteile der Vielzahl von wenigstens teilweise entfernbaren Strukturen scheinen in viele Anteile von der ursprünglichen Vielzahl von wenigstens teilweise entfernbaren Strukturen ”geschnitten” zu sein. Die wenigstens teilweise entfernbaren Strukturen in der ersten und der zweiten Gruppe werden teilweise entfernt, und die wenigstens teilweise entfernbaren Strukturen in der dritten Gruppe werden vollständig entfernt. Das erste Photoresist 17 wird nachfolgend entfernt, zum Beispiel durch Veraschen.
  • Bezugnehmend auf die 3A und 3B wird das strukturierte erste Photoresist 17 zum Beispiel durch Veraschen von der ersten exemplarischen Halbleiterstruktur entfernt. Das zusammengesetzte Muster, das mittels Durchführen einer logischen ”AND”-Operation an dem eindimensionalen Muster der ursprünglichen Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie in den 1A und 1B bereitgestellt sind, und an dem Muster in der Schnittmaske erhalten wird, beinhaltet das Muster für Gate-Elektroden und das Muster für Kontakt-Durchkontakt-Strukturen. Mit anderen Worten beinhalten die verbleibenden Anteile der Vielzahl von wenigstens teilweise entfernbaren Strukturen nach dem Prozessschritt von 2A und 2B zwei Muster. Eines ist das Muster für Gate-Elektroden, und das andere ist das Muster für Kontakt-Durchkontakt-Strukturen. In einigen Fällen kann eine einzelne Schnittmaske durch eine Kombination von mehreren Schnittmasken substituiert werden.
  • Bezugnehmend auf die 4A und 4B werden Source- und Drain-Erweiterungsbereiche 32 gebildet, zum Beispiel mittels Ionenimplantation und/oder Plasmadotieren und/oder Gasphasendotieren. Bei Bedarf können auch Halo-Bereiche (nicht gezeigt) gebildet werden. Wenn Dotierstoffe von unterschiedlichen Leitfähigkeitstypen (z. B. vom p-Typ und vom n-Typ) implantiert werden müssen, um unterschiedliche Typen von Feldeffekttransistoren zu bilden, können optional Block-Masken (nicht gezeigt) eingesetzt werden. Die Block-Masken können strukturierte Photoresiste sein, die Bereiche bedecken, in denen eine Implantation von Ionen nicht erwünscht ist.
  • Bezugnehmend auf die 5A und 5B wird eine Schicht 60 aus dielektrischem Material auf Kontaktebene abgeschieden und nachfolgend planarisiert. Die Schicht 60 aus dielektrischem Material auf Kontaktebene beinhaltet ein dielektrisches Material, wie undotiertes Silicatglas oder Silicatglas. Die Schicht 60 aus dielektrischem Material auf Kontaktebene wird vorzugsweise als eine konforme Schicht abgeschieden, um eine Bildung von Hohlräumen an Fugen zu vermeiden. Die Schicht 60 aus dielektrischem Material auf Kontaktebene kann zum Beispiel mittels chemischer Gasphasenabscheidung bei niedrigem Druck (LPCVD, Low Pressure Chemical Vapor Deposition), subatmosphärischer chemischer Gasphasenabscheidung (SACVD, Sub-Atmospheric Chemical Vapor Deposition), chemischer Gasphasenabscheidung mit einem Plasma hoher Dichte (HDPCVD, High Density Plasma Chemical Vapor Deposition) oder Aufschleuderbeschichtung abgeschieden werden. Die Schicht 60 aus dielektrischem Material auf Kontaktebene wird nachfolgend planarisiert, wobei die Oberseiten der dielektrischen Gate-Abdeckungen 54A und die entfernbaren dielektrischen Abdeck-Anteile 54B als eine Stoppschicht dienen. So ist die Oberseite der planarisierten Schicht 60 aus dielektrischem Material auf Kontaktebene koplanar mit Oberseiten der Vielzahl von wenigstens teilweise entfernbaren Strukturen.
  • Bezugnehmend auf die 6A und 6B wird ein zweites Photoresist 27 auf den Oberseiten der ersten exemplarischen Halbleiterstruktur angebracht und wird lithographisch strukturiert, um die erste Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen zu bedecken, d. h. die wenigstens teilweise entfernbaren Strukturen, die einen vertikalen Stapel aus einem Gate-Dielektrikum 50A, einem Gate-Elektroden-Anteil 52A und einer dielektrischen Gate-Abdeckung 54A beinhalten. So wird die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen außerhalb der Gebiete des strukturierten zweiten Photoresists 27 freigelegt. Unter Einsetzen der Kombination aus dem zweiten Photoresist 27 und der Schicht 60 aus dielektrischem Material auf Kontaktebene als einer Ätzmaske wird ein anisotroper Ätzvorgang durchgeführt, um die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen zu entfernen, d. h. die wenigstens teilweise entfernbaren Strukturen, die einen vertikalen Stapel aus einem entfernbaren dielektrischen Anteil 50B, einem entfernbaren Elektrodenanteil 52B und einem entfernbaren dielektrischen Abdeck-Anteil 546 beinhalten.
  • In dem Volumen, aus dem die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen vollständig entfernt ist, werden Kontakt-Hohlräume 63 gebildet. Innerhalb jedes Kontakt-Hohlraums 63 ist die Oberseite der Halbleiterschicht 10 freigelegt. Das zweite Photoresist 27 wird nachfolgend entfernt, zum Beispiel mittels Veraschen.
  • Bezugnehmend auf die 7A und 7B können mittels Ionenimplantation Source- und Drain-Bereiche 34 gebildet werden. Optional können erhöhte Source-/Drain-Bereiche 36 mittels selektiver Epitaxie gebildet werden, die ein Halbleitermaterial lediglich auf Halbleiteroberflächen, jedoch nicht auf dielektrischen Oberflächen abscheidet, wie den Oberflächen der dielektrischen Gate-Abdeckungen 54A und der Schicht 60 aus dielektrischem Material auf Kontaktebene.
  • Bezugnehmend auf die 8A und 8B können optional Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 zum Beispiel mittels Abscheiden einer Metallschicht (nicht gezeigt), Induzieren der Bildung der Kontaktmetall-Halbleiter-Legierungs-Anteile 38 bei einer erhöhten Temperatur, die 300 Grad Celsius übersteigt, und Entfernen jeglicher nicht reagierter Anteile der Metallschicht gebildet werden.
  • Wenn die Bildung von Gate-Metall-Halbleiter-Legierungs-Anteilen 58 gewünscht ist, werden obere Anteile der ersten Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen entfernt, um ein Halbleitermaterial innerhalb der ersten Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen freizulegen, bevor die Metallschicht abgeschieden wird, die zur Bildung der Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 eingesetzt wird. Speziell können die dielektrischen Gate-Abdeckungen 54A selektiv bezüglich der Schicht 60 aus dielektrischem Material auf Kontaktebene und des Halbleitermaterials unterhalb der Kontakthohlräume 63 entfernt werden, um Oberseiten der Halbleiter-Gate-Elektroden-Anteile 52A freizulegen. Die Metallschicht wird gleichzeitig auf den erhöhten Source-/Drain-Bereichen 36 (wenn vorhanden) oder den Source- und Drain-Bereichen 34 (wenn keine erhöhten Source-/Drain-Bereiche 36 vorhanden sind) und auf den Oberseiten der Halbleiter-Gate-Elektroden-Anteile 52A abgeschieden. Nach einer Temperung und einer Entfernung von nicht reagierten Anteilen der Metallschicht werden die Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 und die Gate-Metall-Halbleiter-Legierungs-Anteile 58 gebildet. Die Oberseiten der Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 und der Gate-Metall-Halbleiter-Legierungs-Anteile 58 werden relativ zu der Oberseite der Schicht 60 aus dielektrischem Material auf Kontaktebene vertieft. Die Hohlräume über den Gate-Metall-Halbleiter-Legierungs-Anteilen 58 (wenn vorhanden) oder über den Gate-Elektroden-Anteilen 52A (wenn keine Gate-Metall-Halbleiter-Legierungs-Anteile vorhanden sind) werden hierin als Gate-Hohlräume 83 bezeichnet.
  • Bezugnehmend auf die 9A und 9B wird ein metallisches Material abgeschieden und planarisiert, um die Gate-Hohlräume 83 und die Kontakt-Hohlräume 63 zu füllen. Ein metallischer Gate-Leiter-Elektroden-Anteil 75 füllt jeden Gate-Hohlraum 83, und eine Kontakt-Durchkontakt-Struktur 73 füllt jeden Kontakt-Hohlraum 63. Die metallischen Gate-Leiter-Elektroden-Anteile 75 stellen eine Gate-Metallisierung bereit, und die Kontakt-Durchkontakt-Strukturen 73 stellen einen elektrischen Kontakt zu den Source- und Drain-Bereichen 34 und der lokalen Zwischenverbindung bereit, die in der Schicht 60 aus dielektrischem Material auf Kontaktebene eingebettet ist.
  • Die metallischen Gate-Leiter-Elektroden-Anteile 75 werden mittels Ersetzen eines ersten Anteils der Vielzahl von wenigstens teilweise entfernbaren Strukturen, d. h. eines Anteils der ersten Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, durch das metallische Material gebildet. Die erste Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie bei dem Prozessschritt der 3A und 3B bereitgestellt werden, wird lediglich teilweise ersetzt. So verbleibt nach der Bildung der metallischen Gate-Leiter-Elektroden-Anteile 75 und der Kontakt-Durchkontakt-Strukturen 73 ein Teilsatz der Vielzahl von wenigstens teilweise entfernbaren Strukturen auf dem Halbleitersubstrat 8. Speziell beinhaltet der Teilsatz der wenigstens teilweise entfernbaren Strukturen die Gate-Dielektrika 50A und die Gate-Elektroden-Anteile 52A.
  • Die Kontakt-Durchkontakt-Strukturen 73 werden mittels Ersetzen eines zweiten Anteils der Vielzahl von wenigstens teilweise entfernbaren Strukturen, d. h. eines Anteils der zweiten Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, durch das metallische Material gebildet. Die zweite Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie bei dem Prozessschritt der 3A und 3B bereitgestellt werden, wird vollständig ersetzt. Wenigstens eine der Kontakt-Durchkontakt-Strukturen 73 kann mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden werden, der sich auf dem Halbleitersubstrat 8 befindet. Wie hierin verwendet, ist ein erstes Element mit einem zweiten Element ”leitfähig verbunden”, wenn zwischen dem ersten Element und dem zweiten Element ein elektrisch leitfähiger Pfad existiert.
  • Die metallischen Gate-Leiter-Elektroden-Anteile 75 und die Kontakt-Durchkontakt-Strukturen 73 werden gleichzeitig mittels Abscheiden des metallischen Materials innerhalb von Hohlräumen gebildet, die nach der Entfernung von wenigstens einem Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen gebildet waren. Jeder Gate-Metall-Halbleiter-Legierungs-Anteil 58, wenn vorhanden, ist in Kontakt mit einer Unterseite eines metallischen Gate-Leiter-Elektroden-Anteils 75, und jeder Kontakt-Metall-Halbleiter-Legierungs-Anteil 38 ist in Kontakt mit einer Unterseite einer Kontakt-Durchkontakt-Struktur 73. Des Weiteren weist jeder Kontakt-Metall-Halbleiter-Legierungs-Anteil 38 die gleiche Breite W wie die Gate-Metall-Halbleiter-Legierungsanteile 58 auf.
  • Ein erster Typ von ein leitfähiges Material beinhaltenden Strukturen beinhaltet vertikale Stapel aus einem Gate-Dielektrikum 50A, einem Gate-Elektroden-Anteil 52A, optionalen Gate-Metall-Halbleiter-Legierungs-Anteilen 58 (wenn vorhanden) und einem metallischen Gate-Leiter-Elektroden-Anteil 75. Ein zweiter Typ von ein leitfähiges Material beinhaltenden Strukturen beinhaltet Kontakt-Durchkontakt-Strukturen 73 und Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 (wenn keine erhöhten Source-/Drain-Bereiche 36 vorhanden sind) oder vertikale Stapel, die eine Kontakt-Durchkontakt-Struktur 73, Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 und erhöhte Source-/Drain-Bereiche 36 beinhalten.
  • Der erste Typ von ein leitfähiges Material beinhaltenden Strukturen und der zweite Typ von ein leitfähiges Material beinhaltenden Strukturen bildet gemeinsam eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (50A, 52A, 58, 75, 36, 38, 73), die mittels Substituieren eines Teils der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie in den 3A und 3B bereitgestellt werden, durch Anteile aus einem metallischen Material gebildet wird. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (50A, 52A, 58, 75, 36, 38, 73) weist weitere parallele Seitenwände auf, die Seitenwände der metallischen Gate-Leiter-Elektroden-Anteile 75 und der Kontakt-Durchkontakt-Strukturen 73 sind. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (50A, 52A, 58, 75, 36, 38, 73) weist das konstante Rastermaß P in der horizontalen Richtung senkrecht zu den parallelen Seitenwänden auf. Jede ein leitfähiges Material beinhaltende Struktur des ersten Typs beinhaltet ein Gate-Dielektrikum 50A und einen metallischen Gate-Leiter-Elektroden-Anteil 75, und der laterale Abstand zwischen einer Seitenwand des metallischen Gate-Leiter-Elektroden-Anteils 75 und einer Seitenwand einer benachbarten Kontakt-Durchkontakt-Struktur 73 ist gleich dem konstanten Rastermaß P. Jede der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (50A, 52A, 58, 75, 36, 38, 73) weist in der Richtung des konstanten Rastermaßes P die konstante Breite W auf.
  • Jeder metallische Gate-Leiter-Elektroden-Anteil 75 ist ein Anteil einer Gate-Leiter-Elektrode eines Transistors. Eine der Kontakt-Durchkontakt-Strukturen 73 kann von einem metallischen Gate-Leiter-Elektroden-Anteil 75 um einen Abstand (P-W) lateral beabstandet sein und kann mit einem von dem Source-Bereich und dem Drain-Bereich des Transistors in Kontakt sein, und eine andere der Kontakt-Durchkontakt-Strukturen 73 kann von dem metallischen Gate-Leiter-Elektroden-Anteil 75 um einen Abstand (P-W) lateral beabstandet sein und kann mit dem anderen von dem Source-Bereich und dem Drain-Bereich des Transistors in Kontakt sein.
  • Bezugnehmend auf die 10A und 10B wird eine zweite exemplarische Halbleiterstruktur von der ersten exemplarischen Halbleiterstruktur der 5A und 5B abgeleitet, indem ein kontaktblockierendes Photoresist 37 auf der Oberseite der Schicht 60 aus dielektrischem Material auf Kontaktebene angebracht und lithographisch strukturiert wird, um die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen zu bedecken, d. h. die wenigstens teilweise entfernbaren Strukturen, die einen vertikalen Stapel aus einem entfernbaren dielektrischen Anteil 50B, einem entfernbaren Elektroden-Anteil 52B und einem entfernbaren dielektrischen Abdeck-Anteil 54B beinhalten. So wird die erste Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen außerhalb der Gebiete des strukturierten, kontaktblockierenden Photoresists 37 freigelegt. Unter Einsetzen der Kombination aus dem strukturierten, kontaktblockierenden Photoresist 37 und der Schicht 60 aus dielektrischem Material auf Kontaktebene als einer Ätzmaske wird ein anisotroper Ätzvorgang durchgeführt, um die erste Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen zu entfernen, d. h. die wenigstens teilweise entfernbaren Strukturen, die ein Gate-Dielektrikum 50A, einen Gate-Elektroden-Anteil 52A und eine dielektrische Gate-Abdeckung 54A beinhalten.
  • In dem Volumen, aus dem die erste Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen vollständig entfernt ist, werden Gate-Hohlräume 83 gebildet. Die Oberseite der Halbleiterschicht 10 ist innerhalb jedes Gate-Hohlraums 83 freigelegt. Das kontaktblockierende Photoresist 37 wird nachfolgend entfernt, zum Beispiel mittels Veraschen.
  • Bezugnehmend auf die 11A und 11B werden eine Gate-Dielektrikumschicht 80L und eine Austrittsarbeitsmetallschicht 82L sequentiell innerhalb der Gate-Hohlräume 83 und über der Schicht 60 aus dielektrischem Material auf Kontaktebene abgeschieden. Die Gate-Dielektrikumschicht 80L kann eine kontinuierliche Materialschicht sein, welche ohne eine Öffnung darin die Gesamtheit von freigelegten Oberflächen der Halbleiterschicht 10, die Seitenwände und die Oberflächen der Schicht 60 aus dielektrischem Material auf Kontaktebene und die entfernbaren, dielektrischen Abdeck-Anteile 54B kontinuierlich bedeckt. Die Gate-Dielektrikumschicht 80L kann mittels einer konformen oder nicht-konformen Abscheidung eines dielektrischen Materials gebildet werden. Die Gate-Dielektrikumschicht 80L beinhaltet innerhalb jedes Gate-Hohlraums 83 einen U-förmigen Gate-Dielektrikum-Anteil, der sich zusammenhängend von der Oberseite des Halbleitersubstrats 8 zu der Oberseite der Schicht 60 aus dielektrischem Material auf Kontaktebene entlang der vertikalen Seitenwände der Schicht 60 aus dielektrischem Material auf Kontaktebene erstreckt. Die Gate-Dielektrikumschicht 80L kann zum Beispiel aus einem dielektrischen Material mit einer hohen Dielektrizitätskonstante (high k) bestehen, das ein dielektrisches Metalloxid beinhaltet und eine Dielektrizitätskonstante aufweist, die größer als 8,0 ist. Das High-k-Dielektrikum-Material kann mittels Verfahren gebildet werden, die auf dem Fachgebiet allgemein bekannt sind.
  • Die Austrittsarbeitsmetallschicht 82L kann irgendein metallisches Material beinhalten, das die Schwellenspannungen von zu bildenden Transistoren einstellen kann. Die Austrittsarbeitsmetallschicht 82L kann zum Beispiel Metalle beinhalten, wie Pt, Rh, Ir, Ru, Cu, Os, Be, Co, Pd, Te, Cr, Ni, TiN, Hf, Ti, Zr, Cd, La, Tl, Yb, Al, Ce, Eu, Li, Pb, Tb, Bi, In, Lu, Nb, Sm, V, Zr, Ga, Mg, Gd, Y, TiAl, W, Ta, TiN und Legierungen derselben. In einigen Ausführungsformen können mehrere Austrittsarbeitsmetallschichten 82 mit einer optionalen lithographischen Strukturierung eingesetzt werden, um unterschiedliche Typen von Transistoren mit unterschiedlichen Schwellenspannungen bereitzustellen.
  • Bezugnehmend auf die 12A und 12B wird ein gate-blockierendes Photoresist 47 auf den Oberseiten der zweiten exemplarischen Halbleiterstruktur angebracht und wird lithographisch strukturiert, um die Bereiche der Gate-Hohlräume 83 und Anteile der Austrittsarbeitsmetallschicht 82L in der Nähe zu bedecken. So wird die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen außerhalb der Gebiete des strukturierten gate-blockierenden Photoresists 47 freigelegt.
  • Die freigelegten Anteile der Austrittsarbeitsmetallschicht 82L und der Gate-Dielektrikumschicht 80L werden geätzt, zum Beispiel mittels eines Nassätzvorgangs oder eines anisotropen Ätzvorgangs. Die verbleibenden Anteile der Austrittsarbeitsmetallschicht 82L und der Gate-Dielektrikumschicht 80L bilden einen Stapel aus einem Gate-Dielektrikum 80 und einer Austrittsarbeitsmetallschicht 82 unterhalb von jedem isolierten Anteil des strukturierten gate-blockierenden Photoresists 47.
  • Unter Einsetzen der Kombination aus dem strukturierten gate-blockierenden Photoresist 47 und der Schicht 60 aus dielektrischem Material auf Kontaktebene als einer Ätzmaske wird ein anisotroper Ätzvorgang durchgeführt, um die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen zu entfernen, d. h. die wenigstens teilweise entfernbaren Strukturen, die einen vertikalen Stapel aus einem entfernbaren dielektrischen Anteil 50B, einem entfernbaren Elektroden-Anteil 52B und einem entfernbaren dielektrischen Abdeck-Anteil 54B beinhalten. In dem Volumen, aus dem die zweite Gruppe der Vielzahl von wenigstens teilweise entfernbaren Strukturen vollständig entfernt ist, werden die Kontakt-Hohlräume 63 gebildet. Die Oberseite der Halbleiterschicht 10 ist innerhalb jedes Kontakt-Hohlraums 63 freigelegt. In der zweiten Ausführungsform ist die Gesamtheit der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie in den 3A und 3B bereitgestellt werden, am Ende dieses Schritts entfernt.
  • Bezugnehmend auf die 13A und 13B können Source- und Drain-Bereiche 34 mittels Ionenimplantation gebildet werden. Das gate-blockierende Photoresist 47 wird nachfolgend entfernt, zum Beispiel mittels Veraschen.
  • Bezugnehmend auf die 14A und 14B können optional erhöhte Source-/Drain-Bereiche 36 mittels selektiver Epitaxie gebildet werden, die ein Halbleitermaterial lediglich auf Halbleiteroberflächen abscheidet, jedoch nicht auf dielektrischen Oberflächen, wie den Oberflächen der Austrittsarbeitsmetallschichten 82 und der Schicht 60 aus dielektrischem Material auf Kontaktebene. Dieser Prozessschritt ist optional.
  • Bezugnehmend auf die 15A und 15B können optional Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 gebildet werden, zum Beispiel mittels Abscheiden einer Metallschicht (nicht gezeigt), Induzieren der Bildung der Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 bei einer erhöhten Temperatur, die 300 Grad Celsius übersteigt, und Entfernen jeglicher nicht reagierten Anteile der Metallschicht. Dieser Prozessschritt ist optional.
  • Bezugnehmend auf die 16A und 16B wird ein metallisches Material abgeschieden und planarisiert, um die Gate-Hohlräume 83 und die Kontakt-Hohlräume 63 zu füllen. Ein metallischer Gate-Leiter-Elektroden-Anteil 76 füllt jeden Gate-Hohlraum 83, und eine Kontakt-Durchkontakt-Struktur 73 füllt jeden Kontakt-Hohlraum 63. Die metallischen Gate-Leiter-Elektroden-Anteile 76 stellen eine Gate-Metallisierung bereit, und die Kontakt-Durchkontakt-Strukturen 73 stellen einen elektrischen Kontakt zu den Source- und Drain-Bereichen 34 und der lokalen Zwischenverbindung bereit, die in der Schicht 60 aus dielektrischem Material auf Kontaktebene eingebettet ist.
  • Die metallischen Gate-Leiter-Elektroden-Anteile 76 werden gebildet, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen, d. h. ein Anteil der ersten Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, durch das metallische Material ersetzt wird. Die erste Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie in dem Prozessschritt der 3A und 3B bereitgestellt werden, wird durch eine Kombination aus Gate-Dielektrika 80, den Austrittsarbeitsmetallschichten 82 und dem metallischen Material, das die metallischen Gate-Leiter-Elektroden-Anteile 76 bildet, vollständig ersetzt.
  • Die Kontakt-Durchkontakt-Strukturen 73 werden gebildet, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen, d. h. ein Anteil der zweiten Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, durch das metallische Material ersetzt wird. Die zweite Gruppe unter der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie in dem Prozessschritt der 3A und 3B bereitgestellt werden, wird durch die Kontakt-Durchkontakt-Strukturen 72 und optional durch die erhöhten Source-/Drain-Bereiche 36 (wenn vorhanden) und/oder die Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 (wenn vorhanden) vollständig ersetzt. Wenigstens eine der Kontakt-Durchkontakt-Strukturen 73 kann mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden sein, der sich auf dem Halbleitersubstrat 8 befindet.
  • Die metallischen Gate-Leiter-Elektroden-Anteile 76 und die Kontakt-Durchkontakt-Strukturen 73 werden gleichzeitig mittels Abscheiden des metallischen Materials innerhalb der Hohlräume gebildet, die nach der Entfernung von wenigstens einem Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen gebildet waren. Jeder metallische Gate-Leiter-Elektroden-Anteil 76 ist in Kontakt mit Innenwänden und einer Oberseite der Austrittsarbeitsmetallschichten 82.
  • Ein erster Typ von ein leitfähiges Material beinhaltenden Strukturen beinhaltet ein U-förmiges Gate-Dielektrikum 80, einen metallischen Gate-Leiter-Elektroden-Anteil 76 und eine Austrittsarbeitsmetallschicht 82, die mit Innenwänden und einer Oberseite des U-förmigen Gate-Dielektrikums sowie Außenwänden und einer Unterseite des metallischen Gate-Leiter-Elektroden-Anteils 76 in Kontakt ist. Ein zweiter Typ von ein leitfähiges Material beinhaltenden Strukturen beinhaltet Kontakt-Durchkontakt-Strukturen 73 (wenn keine erhöhten Source-/Drain-Bereiche 36 vorhanden sind und keine Kontakt-Metall-Halbleiter-Legierungs-Anteile 38 vorhanden sind) oder vertikale Stapel, die eine Kontakt-Durchkontakt-Struktur 73 und wenigstens einen von erhöhten Source-/Drain-Bereichen 36 und Kontakt-Metall-Halbleiter-Legierungs-Anteilen 38 beinhalten.
  • Der erste Typ von ein leitfähiges Material beinhaltenden Strukturen und der zweite Typ von ein leitfähiges Material beinhaltenden Strukturen bilden gemeinsam eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (80, 82, 76, 36, 38, 73), die durch Substituieren eines Teils der Vielzahl von wenigstens teilweise entfernbaren Strukturen, wie sie in den 3A und 3B bereitgestellt werden, durch Anteile aus metallischem Material gebildet wird. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (80, 82, 76, 36, 38, 73) weist weitere parallele Seitenwände auf, die Seitenwände der Gate-Dielektrika 80 und der Kontakt-Durchkontakt-Strukturen 73 sind. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (80, 82, 76, 36, 38, 73) weist das konstante Rastermaß P in der horizontalen Richtung senkrecht zu den parallelen Seitenwänden auf. Jede ein leitfähiges Material beinhaltende Struktur des ersten Typs beinhaltet ein U-förmiges Gate-Dielektrikum 80 und einen metallischen Gate-Leiter-Elektroden-Anteil 76, und der laterale Abstand zwischen einer Außenwand des U-förmigen Gate-Dielektrikums 80 und einer Seitenwand einer benachbarten Kontakt-Durchkontakt-Struktur 73 ist gleich dem konstanten Rastermaß P. Jede der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (80, 82, 76 oder 36, 38, 73) weist in der Richtung des konstanten Rastermaßes P die konstante Breite W auf. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (80, 82, 76 oder 36, 38, 73) wird mittels Substituieren eines Teils der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch Anteile aus metallischem Material gebildet.
  • Jeder metallische Gate-Leiter-Elektroden-Anteil 76 ist ein Anteil einer Gate-Leiter-Elektrode eines Transistors. Eine der Kontakt-Durchkontakt-Strukturen 73 kann um einen Abstand (P-W) von einem metallischen Gate-Leiter-Elektroden-Anteil 76 lateral beabstandet sein und mit einem von dem Source-Bereich und dem Drain-Bereich des Transistors in Kontakt sein, und eine andere der Kontakt-Durchkontakt-Strukturen 73 kann um einen Abstand (P-W) von dem metallischen Gate-Leiter-Elektroden-Anteil 76 lateral beabstandet sein und mit dem anderen von dem Source-Bereich und dem Drain-Bereich des Transistors in Kontakt sein.
  • Gemäß einer dritten Ausführungsform der vorliegenden Offenbarung können die Strukturen und Verfahren der ersten und der zweiten Ausführungsform der vorliegenden Offenbarung in neuen Zellen-Layouts für verschiedene Halbleitereinheiten ausgeführt werden. Eine statische Speicherzelle mit wahlfreiem Zugriff (SRAM-Zelle), die mehrere parallele, ein leitfähiges Material beinhaltende Strukturen beinhaltet, die in fünf Zeilen mit dem konstanten Rastermaß angeordnet sind, kann zum Beispiel gebildet werden, indem die Strukturen und Verfahren der vorliegenden Offenbarung eingesetzt werden. In der dritten Ausführungsform kann eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen sowohl einen ersten Typ einer ein leitfähiges Material beinhaltenden Struktur als auch einen zweiten Typ einer ein leitfähiges Material beinhaltenden Struktur in der ersten oder der zweiten Ausführungsform beinhalten.
  • Es ist anzumerken, dass, wenn die in der ersten und der zweiten Ausführungsform beschriebenen Prozesse durch andere Prozesse substituiert werden, das hierin beschriebene Layout einer SRAM-Zelle dazu verwendet werden kann, eine L-förmige Struktur an der Ebene einer lokalen Zwischenverbindung zu vermeiden, um so ein Hinzufügen mehrerer Lithographie-Schritte zu vermeiden.
  • Bezugnehmend auf 17 beinhaltet eine dritte exemplarische Halbleiterstruktur gemäß einer dritten Ausführungsform der vorlegenden Offenbarung flache Grabenisolationsstrukturen 12, die in einer Halbleiterschicht 10 eingebettet sind, die in einem Halbleitersubstrat 8 sein kann (siehe 1B). Die freigelegten Bereiche der Halbleiterschicht 10 werden hierin als ”aktive Flächen” bezeichnet. Die Fläche für eine Einheitszelle U für einen SRAM ist durch eine gestrichelte Linie markiert.
  • Bezugnehmend auf 18 wird eine Vielzahl von wenigstens teilweise entfernbaren Strukturen auf dem Halbleitersubstrat gebildet, wobei die gleichen Verfahren wie in der ersten Ausführungsform eingesetzt werden. Die Vielzahl von wenigstens teilweise entfernbaren Strukturen kann die gleiche sein, wie in den 1A und 1B beschrieben. Die dielektrischen Abdeckungen 54 in 18 entsprechen der Ansammlung der dielektrischen Gate-Abdeckungen 54A, der entfernbaren dielektrischen Abdeck-Anteile 54B und der dielektrischen Dummy-Abdeck-Anteile 54C in den 1A und 1B. Die Vielzahl von wenigstens teilweise entfernbaren Strukturen weist parallele Seitenwände auf, die sich auf dem Halbleitersubstrat befinden. Des Weiteren weist die Vielzahl von wenigstens teilweise entfernbaren Strukturen ein konstantes Rastermaß P in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden auf. Jede der Vielzahl von wenigstens teilweise entfernbaren Strukturen weist in der Richtung des konstanten Rastermaßes P eine konstante Breite W auf.
  • Bezugnehmend auf 19 wird die Vielzahl von wenigstens teilweise entfernbaren Strukturen strukturiert, wobei eine Schnittmaske und ein Ätzvorgang eingesetzt werden. Es können die gleichen Prozessschritte wie in den 2A, 2B, 3A und 3B eingesetzt werden.
  • Bezugnehmend auf 20 können die Prozessschritte der 4A bis 9B eingesetzt werden, um eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen zu bilden, indem ein Teil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch Anteile aus metallischem Material substituiert wird. Die metallischen Gate-Leiter-Elektroden-Anteile 75 werden gebildet, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch metallisches Material ersetzt wird. Die Kontakt-Durchkontakt-Strukturen 73 werden gebildet, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen ersetzt wird. Einige der Kontakt-Durchkontakt-Strukturen können mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden werden, der sich auf dem Halbleitersubstrat befindet.
  • Gebiete, die einen ersten Typ von ein leitfähiges Material beinhaltenden Strukturen beinhalten, entsprechen den Gebieten, in denen die metallischen Gate-Leiter-Elektroden-Anteile 75 vorhanden sind. Gebiete, die einen zweiten Typ von ein leitfähiges Material beinhaltenden Strukturen beinhalten, entsprechen den Gebieten, in denen die Kontakt-Durchkontakt-Strukturen 73 vorhanden sind. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen, die in Gebieten der metallischen Gate-Leiter-Elektroden-Anteilen 75 und der Kontakt-Durchkontakt-Strukturen 73 vorhanden sind, weist weitere parallele Seitenwände auf und weist das konstante Rastermaß P auf. Jede der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen weist in der Richtung des konstanten Rastermaßes P eine konstante Breite W auf.
  • Bezugnehmend auf 21 kann eine erste Variation der dritten exemplarischen Halbleiterstruktur gebildet werden, indem die Prozessschritte der 10A bis 16B eingesetzt werden. Eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen wird gebildet, indem ein Teil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch Anteile aus metallischem Material substituiert wird. Die Gate-Dielektrika 80, die Austrittsarbeitsmetallschichten 82 und die metallischen Gate-Leiter-Elektroden-Anteile 76 werden gebildet, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch ein metallisches Material ersetzt wird. Die Kontakt-Durchkontakt-Strukturen 73 werden gebildet, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen ersetzt wird. Einige der Kontakt-Durchkontakt-Strukturen 73 können mit einem von einem Source-Bereich und einem Drain-Bereich eines Transistors leitfähig verbunden werden, der sich auf dem Halbleitersubstrat befindet.
  • Gebiete, die einen ersten Typ von ein leitfähiges Material beinhaltenden Strukturen beinhalten, entsprechen den Gebieten, in denen die Gate-Dielektrika 80, die Austrittsarbeitsmetallschichten 82 und die metallischen Gate-Leiter-Elektroden-Anteile 76 vorhanden sind. Gebiete, die einen zweiten Typ von ein leitfähiges Material beinhaltenden Strukturen beinhalten, entsprechen den Gebieten, in denen die Kontakt-Durchkontakt-Strukturen 73 vorhanden sind. Die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen, die in den Gebieten der Gate-Dielektrika 80, der Austrittsarbeitsmetallschichten 82, der metallischen Gate-Leiter-Elektroden-Anteile 76 und der Kontakt-Durchkontakt-Strukturen 73 vorhanden sind, weist weitere parallele Seitenwände auf und weist das konstante Rastermaß P auf. Jede der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen weist in der Richtung des konstanten Rastermaßes P eine konstante Breite W auf.
  • Jede der in den 20 und 21 dargestellten exemplarischen Halbleiterstrukturen beinhaltet eine statische Speicherzelle mit wahlfreiem Zugriff (SRAM-Zelle), die mehrere parallele, ein leitfähiges Material beinhaltende Strukturen beinhaltet, die in fünf Zeilen mit dem konstanten Rastermaß P angeordnet sind. Zwei der fünf Zeilen beinhalten eine Struktur, die vier aktive Bereiche überlagert, die durch gestrichelte, mit ”10” markierte Linien dargestellt sind, und eine Gate-Leiter-Elektrode und eine Kontakt-Durchkontakt-Struktur beinhaltet, die leitfähig miteinander verbunden sind, d. h. Strukturen, die in den 20 und 21 mit ”GCECVS” bezeichnet sind. In den 20 und 21 befinden sich alle Gate-Strukturen und lokalen Zwischenverbindungsstrukturen entlang einer Richtung, d. h. der Richtung der parallelen Kanten der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen. Während die fünf Zeilen von parallelen, ein leitfähiges Material beinhaltenden Strukturen erfordern, dass die Einheitszelle U eine ausreichende Breite aufweist, um ein lithographisches Drucken von fünf parallelen Linien und Abständen zu ermöglichen, kann das Fehlen von nicht parallelen Linien während des Druckens der parallelen Linien (siehe 18) eine geringere Abmessung der Einheitszelle für die SRAM-Entwürfe der vorliegenden Offenbarung als für die herkömmlichen SRAM-Entwürfe ermöglichen, die das Drucken von nicht parallelen Kanten erfordern. Es ist anzumerken, dass herkömmliche SRAM-Zellenstrukturen Strukturen mit nicht parallelen Kanten beinhalten. Im Gegensatz dazu besteht das Layout der SRAM-Zelle der vorliegenden Offenbarung aus Strukturen mit parallelen Kanten, d. h. Strukturen, die nicht parallele Kanten aufweisen, fehlen vollständig an der Gate-Ebene in der SRAM-Zelle der vorliegenden Offenbarung.
  • Bezugnehmend auf 22 zeigen gestrichelte Linien die Kanten von Metallleitungen 110 einer ersten Ebene an, die auf der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen in einem exemplarischen Metallverdrahtungsschema zu bilden sind. Die Metallleitungen 110 der ersten Ebene sind Bit-Leitungen.
  • Bezugnehmend auf 23 zeigen durchgezogene Linien die Kanten von Metalldurchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene an, die auf den Metallleitungen 110 der ersten Ebene zu bilden sind. Die Metalldurchkontakte der ersten Ebene beinhalten Workleitungs-Metalldurchkontakte 115_W einer ersten Ebene, die mit Wortleitungen verbunden sind, Leistungsversorgungs-Metalldurchkontakte 115_V einer ersten Ebene, die eine Leistungsversorgungsspannung bereitstellen, und Masse-Metalldurchkontakte 115_G einer ersten Ebene, die elektrische Masse bereitstellen. Die Metallleitungen einer zweiten Ebene beinhalten Wortleitungs-Metallleitungen 120_W einer zweiten Ebene, die mit Wortleitungen verbunden sind, Leistungsversorgungs-Metallleitungen 120_V einer zweiten Ebene, die eine Leistungsversorgungsspannung bereitstellen, und Masse-Metallleitungen 120_G einer zweiten Ebene, die elektrische Masse bereitstellen.
  • Bezugnehmend auf 24 können Leistungsversorgungs-Metalldurchkontakte 115_V der ersten Ebene und Masse-Metalldurchkontakte 115_G der ersten Ebene in einer zweiten Variation der dritten exemplarischen Halbleiterstruktur von benachbarten Einheitszellen gemeinsam genutzt werden.
  • Bezugnehmend auf 25 werden Leistungsversorgungs-Metalldurchkontakte 115_V der ersten Ebene und Masse-Metalldurchkontakte 115_G der ersten Ebene in einer dritten Variation der dritten exemplarischen Halbleiterstruktur gemeinsam genutzt und verlegt, um den Abstand zwischen einem Paar eines Leistungsversorgungs-Metalldurchkontakts 115_V der ersten Ebene und eines Masse-Metalldurchkontakts 115_G der ersten Ebene zu maximieren.
  • Bezugnehmend auf 26 werden Leistungsversorgungs-Metallleitungen 120_V einer zweiten Ebene in einer vierten Variation der dritten exemplarischen Halbleiterstruktur von zwei pFETs in einer Einheitszelle U gemeinsam genutzt, um das Rastermaß der Metallleitungen der zweiten Ebene (120_W, 120_V, 120_G) zu relaxieren.
  • Bezugnehmend auf 27 werden Masse-Metallleitungen 120_G einer zweiten Ebene in einer fünften Variation der dritten exemplarischen Halbleiterstruktur von zwei Einheitszellen gemeinsam genutzt, um das Rastermaß der Metallleitungen der zweiten Ebene (120_W, 120_V, 120_G) zu relaxieren.
  • Bezugnehmend auf 28 stellt eine exemplarische Halbleiterstruktur die vertikalen Orte von Metallleitungen 110 einer ersten Ebene, von Metalldurchkontakten (115_W, 115_V, 115_G) einer ersten Ebene und von Metallleitungen (120_W, 120_V, 120_G) einer zweiten Ebene relativ zu darunterliegenden Strukturen in einem Gebiet dar, in dem mehrere parallele, ein leitfähiges Material beinhaltende Strukturen mit einem konstanten Rastermaß beabstandet sind. Die Metallleitung 110 der ersten Ebene ist in eine Schicht 109 aus dielektrischem Material auf der Ebene der ersten Leitung eingebettet. Der erste Metalldurchkontakt 115, der in 28 dargestellt ist, kann irgendeiner der verschiedenen Metalldurchkontakte (115_W, 115_V, 115_G) der ersten Ebene sein, die vorstehend beschrieben sind. Der erste Metalldurchkontakt 115 ist in der Schicht 109 aus dielektrischem Material auf der Ebene der ersten Leitung und einer Schicht 119 aus dielektrischem Material auf der Ebene des ersten Durchkontakts eingebettet. Die zweite Metallleitung 120, die in 28 dargestellt ist, kann irgendeine der verschiedenen Metallleitungen (120_W, 120_V, 120_G) der zweiten Ebene sein, die vorstehend beschrieben sind. Die zweite Metallleitung 120 ist in einer Schicht 119 aus dielektrischem Material auf der Ebene der zweiten Leitung eingebettet.
  • Bezugnehmend auf 29 stellt eine weitere schematische vertikale Querschnittansicht der exemplarischen Halbleiterstruktur die vertikalen Orte von Metallleitungen einer ersten Ebene, von Metalldurchkontakten einer ersten Ebene und von Metallleitungen einer zweiten Ebene relativ zu darunterliegenden Strukturen in einem Gebiet dar, in dem eine flache Grabenisolationsstruktur benachbart zu mehreren parallelen, ein leitfähiges Material beinhaltenden Strukturen vorhanden ist, die mit einem konstanten Rastermaß beabstandet sind.
  • Wenngleich die Offenbarung in Bezug auf spezifische Ausführungsformen beschrieben wurde, ist es im Blick auf die vorstehende Beschreibung offensichtlich, dass für den Fachmann zahlreiche Alternativen, Modifikationen und Variationen ersichtlich sind. Dementsprechend soll die Offenbarung alle derartigen Alternativen, Modifikationen und Variationen umfassen, die in den Umfang und den Inhalt der Offenbarung und der folgenden Ansprüche fallen.
  • INDUSTRIELLE ANWENDBARKEIT
  • Die vorliegende Erfindung findet industrielle Anwendbarkeit beim Entwurf und bei der Herstellung von Halbleiter-Feldeffekttransistor(FET)-Einheiten mit hoher Leistungsfähigkeit, die in integrierten Schaltkreischips eingebaut sind, die in einer großen Vielfalt von elektronischen und elektrischen Geräten Anwendung finden.

Claims (18)

  1. Halbleiterstruktur, die eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen aufweist, die parallele Seitenwände aufweisen und sich auf einem Halbleitersubstrat 8 befinden und ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweisen, wobei: eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (76, 80, 36, 38, 73) ein U-förmiges Gate-Dielektrikum 80 und einen metallischen Gate-Leiter-Elektroden-Anteil 76 beinhaltet, der ein metallisches Material aufweist; und eine weitere der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen eine Kontakt-Durchkontakt-Struktur 73 beinhaltet, die das metallische Material aufweist und mit einem von einem Source-Bereich und einem Drain-Bereich 34 eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet; und ein Abstand zwischen einer Außenwand des U-förmigen Gate-Dielektrikums und einer Seitenwand der Kontakt-Durchkontakt-Struktur gleich dem konstanten Rastermaß ist.
  2. Halbleiterstruktur nach Anspruch 1, wobei jede der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen in einer Richtung des konstanten Rastermaßes eine konstante Breite aufweist.
  3. Halbleiterstruktur nach Anspruch 1, wobei der metallische Gate-Leiter-Elektroden-Anteil ein Anteil einer Gate-Leiter-Elektrode des Transistors ist und die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen eine weitere Kontakt-Durchkontakt-Struktur beinhaltet, die das metallische Material aufweist und mit dem anderen von dem Source-Bereich und dem Drain-Bereich des Transistors leitfähig verbunden ist.
  4. Halbleiterstruktur nach Anspruch 1, die des Weiteren einen Kontakt-Metall-Halbleiter-Legierungs-Anteil aufweist, der mit einer Unterseite der Kontakt Durchkontakt-Struktur in Kontakt ist.
  5. Halbleiterstruktur nach Anspruch 1, die des Weiteren eine Austrittsarbeitsmetallschicht 82 aufweist, die mit Innenwänden und einer Oberseite des U-förmigen Gate-Dielektrikums und Außenwänden und einer Unterseite des metallischen Gate-Leiter-Elektroden-Anteils in Kontakt ist.
  6. Halbleiterstruktur nach Anspruch 1, wobei die eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen des Weiteren eine weitere Kontakt-Durchkontakt-Struktur beinhaltet, die das metallische Material aufweist und mit einem von einem Source-Bereich und einem Drain-Bereich eines weiteren Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet, wobei der metallische Gate-Leiter-Elektroden-Anteil mit der anderen Kontakt-Durchkontakt-Struktur innerhalb der einen der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen leitfähig verbunden ist.
  7. Halbleiterstruktur nach Anspruch 1, die des Weiteren eine Schicht 60 aus dielektrischem Material auf Kontaktebene aufweist, die eine Oberseite aufweist, die koplanar mit Oberseiten der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen ist.
  8. Statische Speicherzelle mit wahlfreiem Zugriff (SRAM-Zelle), die eine Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen (50A, 52A, 58, 75, 36, 38, 73) aufweist, die parallele Seitenwände aufweisen und sich auf einem Halbleitersubstrat 8 befinden und ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweisen, wobei eine der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen einen metallischen Gate-Leiter-Elektroden-Anteil 75 beinhaltet, der ein metallisches Material aufweist, und eine weitere der Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen eine Kontakt-Durchkontakt-Struktur 73 beinhaltet, die das metallische Material aufweist und mit einem von einem Source-Bereich und einem Drain-Bereich 34 eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat befindet, wobei die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen in fünf Zeilen mit dem konstanten Rastermaß angeordnet ist, wobei zwei der fünf Zeilen eine Struktur beinhalten, die vier aktive Bereiche überlagert und eine Gate-Leiter-Elektrode und eine Kontakt-Durchkontakt-Struktur beinhaltet, die leitfähig miteinander verbunden sind.
  9. Verfahren zum Bilden einer Halbleiterstruktur, das aufweist. Bilden einer Vielzahl von wenigstens teilweise entfernbaren Strukturen mit parallelen Seitenwänden auf einem Halbleitersubstrat 8, wobei die Vielzahl von wenigstens teilweise entfernbaren Strukturen ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweist; Bilden und Planarisieren einer Schicht aus dielektrischem Material, wobei eine Oberseite der planarisierten Schicht aus dielektrischem Material koplanar mit Oberseiten der Vielzahl von wenigstens teilweise entfernbaren Strukturen ist; Bilden eines metallischen Gate-Leiter-Elektroden-Anteils 75, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch ein metallisches Material substituiert wird; und Bilden einer Kontakt-Durchkontakt-Struktur 73, die das metallische Material aufweist, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen substituiert wird, wobei die Kontakt-Durchkontakt-Struktur mit einem von einem Source-Bereich und einem Drain-Bereich 34 eines Transistors leitfähig verbunden ist, der sich auf dem Halbleitersubstrat 8 befindet.
  10. Verfahren nach Anspruch 9, wobei jede der Vielzahl von wenigstens teilweise entfernbaren Strukturen in einer Richtung des konstanten Rastermaßes eine konstante Breite aufweist.
  11. Verfahren nach Anspruch 9, wobei der metallische Gate-Leiter-Elektroden-Anteil 75 und die Kontakt-Durchkontakt-Struktur 73 gleichzeitig mittels Abscheiden des metallischen Materials innerhalb von Hohlräumen 63 und 83 gebildet werden, die nach der Entfernung von wenigstens einem Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen gebildet waren.
  12. Verfahren nach Anspruch 9, wobei ein Teilsatz der Vielzahl von wenigstens teilweise entfernbaren Strukturen nach der Bildung des metallischen Gate-Leiter-Elektroden-Anteils und der Kontakt-Durchkontakt-Struktur auf dem Halbleitersubstrat verbleibt.
  13. Verfahren nach Anspruch 12, wobei der Teilsatz der wenigstens teilweise entfernbaren Strukturen ein Gate-Dielektrikum 50A und einen Halbleiter-Gate-Elektroden-Anteil 52A beinhaltet.
  14. Verfahren nach Anspruch 9, wobei die Vielzahl von wenigstens teilweise entfernbaren Strukturen mit einer Oberseite des Halbleitersubstrats 8 in Kontakt ist und eine Gesamtheit der Vielzahl von wenigstens teilweise entfernbaren Strukturen vor der Bildung des metallischen Gate-Leiter-Elektroden-Anteils und der Kontakt-Durchkontakt-Struktur entfernt wird.
  15. Verfahren nach Anspruch 14, das des Weiteren aufweist: Entfernen von einer der Vielzahl von wenigstens teilweise entfernbaren Strukturen, um einen Hohlraum 83 zu bilden, wobei eine Oberseite des Halbleitersubstrats 8 innerhalb des Hohlraums freigelegt wird; Bilden einer dielektrischen Schicht 80L, 80 innerhalb des Hohlraums; und Bilden einer Austrittsarbeitsmetallschicht 82 auf der dielektrischen Schicht, wobei der metallische Gate-Leiter-Elektroden-Anteil auf der Austrittsarbeitsmetallschicht gebildet wird.
  16. Verfahren nach Anspruch 9, wobei sich der erste Anteil und der zweite Anteil innerhalb von einer der Vielzahl von wenigstens teilweise entfernbaren Strukturen befinden und der metallische Gate-Leiter-Elektroden-Anteil und die Kontakt-Durchkontakt-Struktur leitfähig miteinander verbunden sind.
  17. Verfahren nach Anspruch 9, das des Weiteren ein Bilden einer Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen mittels Substituieren eines Teils der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch Anteile aus metallischem Material aufweist, wobei die Vielzahl von parallelen, ein leitfähiges Material beinhaltenden Strukturen weitere parallele Seitenwände aufweist und sich auf dem Halbleitersubstrat befindet und das konstante Rastermaß aufweist.
  18. Verfahren zum Bilden einer Halbleiterstruktur, die eine statische Speicherzelle mit wahlfreiem Zugriff (SRAM-Zelle) beinhaltet, wobei das Verfahren aufweist: Bilden einer Vielzahl von wenigstens teilweise entfernbaren Strukturen mit parallelen Seitenwänden auf einem Halbleitersubstrat 8, wobei die Vielzahl von wenigstens teilweise entfernbaren Strukturen ein konstantes Rastermaß in einer horizontalen Richtung senkrecht zu den parallelen Seitenwänden aufweist; Bilden und Planarisieren einer Schicht aus dielektrischem Material, wobei eine Oberseite der planarisierten Schicht aus dielektrischem Material koplanar mit Oberseiten der Vielzahl von wenigstens teilweise entfernbaren Strukturen ist; Bilden eines metallischen Gate-Leiter-Elektroden-Anteils 75, indem ein erster Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen durch ein metallisches Material substituiert wird; und Bilden einer Kontakt-Durchkontakt-Struktur 73, die das metallische Material aufweist, indem ein zweiter Anteil der Vielzahl von wenigstens teilweise entfernbaren Strukturen substituiert wird, wobei die Kontakt-Durchkontakt-Struktur mit einem von einem Source-Bereich und einem Drain-Bereich 34 eines Transistors leitfähig verbunden wird, der sich auf dem Halbleitersubstrat befindet, wobei mehrere parallele, ein leitfähiges Material beinhaltende Strukturen gebildet werden, die in fünf Zeilen mit dem konstanten Rastermaß angeordnet sind, wobei zwei der fünf Zeilen eine Struktur beinhalten, die vier aktive Bereiche überlagert und eine Gate-Leiter-Elektrode und eine Kontakt-Durchkontakt-Struktur beinhaltet, die leitfähig miteinander verbunden sind, und wobei die mehreren parallelen, ein leitfähiges Material beinhaltenden Strukturen als Gate-Elektroden und lokale Zwischenverbindungsstrukturen einer SRAM-Zelle fungieren.
DE112012001220.8T 2011-05-06 2012-01-16 Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden Active DE112012001220B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/102,073 US8455932B2 (en) 2011-05-06 2011-05-06 Local interconnect structure self-aligned to gate structure
US13/102,073 2011-05-06
PCT/US2012/021417 WO2012154228A1 (en) 2011-05-06 2012-01-16 Local interconnect structure self-aligned to gate structure

Publications (2)

Publication Number Publication Date
DE112012001220T5 DE112012001220T5 (de) 2014-06-26
DE112012001220B4 true DE112012001220B4 (de) 2016-12-22

Family

ID=47089670

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012001220.8T Active DE112012001220B4 (de) 2011-05-06 2012-01-16 Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden

Country Status (6)

Country Link
US (1) US8455932B2 (de)
JP (1) JP5660651B2 (de)
CN (1) CN103518253B (de)
DE (1) DE112012001220B4 (de)
GB (1) GB2503176B (de)
WO (1) WO2012154228A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017120848A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co. Ltd. Verwendung von drei oder mehr Masken zum Definieren von Kontaktleitungs-Sperrkomponenten bei der FinFET-SRAM-Herstellung

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8947912B2 (en) * 2010-07-20 2015-02-03 University Of Virginia Licensing & Ventures Group Memory cell including unidirectional gate conductors and contacts
US8803321B2 (en) * 2012-06-07 2014-08-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
US8921136B2 (en) * 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US20150021772A1 (en) * 2013-07-16 2015-01-22 Intermolecular Inc. Mixed-metal barrier films optimized by high-productivity combinatorial PVD
US9666684B2 (en) 2013-07-18 2017-05-30 Globalfoundries Inc. III-V semiconductor device having self-aligned contacts
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US20150162277A1 (en) * 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9859422B2 (en) * 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9793164B2 (en) 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
US9466534B1 (en) * 2015-12-09 2016-10-11 International Business Machines Corporation Cointegration of directed self assembly and sidewall image transfer patterning for sublithographic patterning with improved design flexibility
US9601497B1 (en) * 2016-04-28 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory and method of manufacturing the same
KR102495093B1 (ko) 2016-11-14 2023-02-01 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10373912B2 (en) 2018-01-05 2019-08-06 International Business Machines Corporation Replacement metal gate processes for vertical transport field-effect transistor
US10552567B2 (en) * 2018-01-17 2020-02-04 Globalfoundries Inc. Automated redesign of integrated circuits using relaxed spacing rules
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
US10600746B2 (en) * 2018-07-19 2020-03-24 Cree, Inc. Radio frequency transistor amplifiers and other multi-cell transistors having gaps and/or isolation structures between groups of unit cell transistors
US10672905B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
US10672670B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
US10714399B2 (en) 2018-08-21 2020-07-14 International Business Machines Corporation Gate-last process for vertical transport field-effect transistor
US11417525B2 (en) * 2018-10-08 2022-08-16 Globalfoundries U.S. Inc. Multiple patterning with mandrel cuts defined by block masks
US11107728B2 (en) 2019-05-22 2021-08-31 International Business Machines Corporation Interconnects with tight pitch and reduced resistance
US11721728B2 (en) * 2020-01-30 2023-08-08 Globalfoundries U.S. Inc. Self-aligned contact

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080251934A1 (en) * 2007-04-13 2008-10-16 Jack Allan Mandelman Semiconductor Device Structures and Methods of Fabricating Semiconductor Device Structures for Use in SRAM Devices

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194301B1 (en) * 1999-07-12 2001-02-27 International Business Machines Corporation Method of fabricating an integrated circuit of logic and memory using damascene gate structure
JP4233381B2 (ja) * 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US6987048B1 (en) * 2003-08-06 2006-01-17 Advanced Micro Devices, Inc. Memory device having silicided bitlines and method of forming the same
KR100564578B1 (ko) * 2003-09-29 2006-03-28 삼성전자주식회사 비직교형 반도체 메모리 소자의 자기 정렬 콘택 패드형성방법
JP2005268621A (ja) * 2004-03-19 2005-09-29 Toshiba Corp 半導体集積回路装置
US20080251878A1 (en) * 2007-04-13 2008-10-16 International Business Machines Corporation Structure incorporating semiconductor device structures for use in sram devices
JP2010021295A (ja) * 2008-07-09 2010-01-28 Nec Electronics Corp 半導体装置およびその製造方法
US8004042B2 (en) * 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080251934A1 (en) * 2007-04-13 2008-10-16 Jack Allan Mandelman Semiconductor Device Structures and Methods of Fabricating Semiconductor Device Structures for Use in SRAM Devices

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017120848A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co. Ltd. Verwendung von drei oder mehr Masken zum Definieren von Kontaktleitungs-Sperrkomponenten bei der FinFET-SRAM-Herstellung
US10411020B2 (en) 2017-08-31 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication
US10453852B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication
US10535668B1 (en) 2017-08-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication
US10714488B2 (en) 2017-08-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication
DE102017120848B4 (de) 2017-08-31 2023-07-06 Taiwan Semiconductor Manufacturing Co. Ltd. Verwendung von drei oder mehr Masken zum Definieren von Kontaktleitungs-Sperrkomponenten bei der FinFET-SRAM-Herstellung

Also Published As

Publication number Publication date
US20120280290A1 (en) 2012-11-08
GB2503176B (en) 2014-07-02
JP2014517512A (ja) 2014-07-17
GB201317939D0 (en) 2013-11-27
JP5660651B2 (ja) 2015-01-28
US8455932B2 (en) 2013-06-04
CN103518253B (zh) 2016-01-06
WO2012154228A1 (en) 2012-11-15
GB2503176A (en) 2013-12-18
CN103518253A (zh) 2014-01-15
DE112012001220T5 (de) 2014-06-26

Similar Documents

Publication Publication Date Title
DE112012001220B4 (de) Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden
DE102018101652B4 (de) Integrierte Schaltungsvorrichtung und Verfahren zum Herstellen derselben
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102004002659B4 (de) Halbleitervorrichtung mit einem Kontaktmuster und Herstellungsverfahren dafür
DE102018218869B4 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102014207415B4 (de) Verfahren zur Herstellung dicht gepackter Standardzellen für integrierte Schaltungsprodukte
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102008035707B4 (de) Bipolartransistor-Finfet-Technologie
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102018207344B4 (de) Verfahren zum Bilden von vertikalen Feldeffekttransistoren mit selbstausgerichteten Gates und Gateerweiterungen um die sich ergebende Struktur
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102020126167A1 (de) Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102019219538A1 (de) Halbleiterbauelement und verfahren zum herstellen desselben
DE102020127584B4 (de) Dreidimensionale speichervorrichtung mit ferroelektrischemmaterial
DE102020132537A1 (de) Dreidimensionale Speichervorrichtung und Herstellungsverfahren dafür
DE112016003929B9 (de) Verfahren zum Herstellen eines ferroelektrischen Direktzugriffsspeichers auf vorstrukturierter Bodenelektrode und Oxidationsbarriere
DE102021110834A1 (de) Zweischichtiger Kanaltransistor und Verfahren zum Bilden desselben
DE102020100001B4 (de) Integrierter Schaltkreis mit einer Mehrzahl von Speicherprüfstrukturen und Verfahren zu dessen Herstellung sowie Speicherprüfstruktur einer eingebetteten Speichervorrichtung
DE102006045125B4 (de) Feldeffekttransistoranordnung, Speichereinrichtung und Verfahren zur Herstellung solcher sowie einer 3D-Polysiliziumstruktur
DE102020124477A1 (de) Dreidimensionale stapelbare ferroelektrische direktzugriffsspeichervorrichtungen undherstellungsverfahren
DE112020006213T5 (de) Selbstausgerichtete Randpassivierung für eine stabile Verbindung eines resistiven Speichers mit wahlfreiem Zugriff
DE102022102950A1 (de) Zugriffstransistoren mit u-förmigem kanal und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final