DE102018202897B4 - Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen - Google Patents

Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen Download PDF

Info

Publication number
DE102018202897B4
DE102018202897B4 DE102018202897.4A DE102018202897A DE102018202897B4 DE 102018202897 B4 DE102018202897 B4 DE 102018202897B4 DE 102018202897 A DE102018202897 A DE 102018202897A DE 102018202897 B4 DE102018202897 B4 DE 102018202897B4
Authority
DE
Germany
Prior art keywords
metal
nanosheet
nanosheets
nanosheet stack
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018202897.4A
Other languages
English (en)
Other versions
DE102018202897A1 (de
Inventor
Ruilong Xie
Chanro Park
Min Gyu Sung
Hoon Kim
Hui Zang
Guowei Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102018202897A1 publication Critical patent/DE102018202897A1/de
Application granted granted Critical
Publication of DE102018202897B4 publication Critical patent/DE102018202897B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren, umfassend:ein Bilden eines ersten und eines zweiten Nanosheet-Stapels (104, 134, 136) auf einem Substrat (112), wobei sich der erste und der zweite Nanosheet-Stapel (104, 134, 136) nebeneinander befinden und jeweils vertikal benachbarte Nanosheets (104) umfassen, die um einen Abstand beabstandet sind;ein Abscheiden eines ersten Metalls (132), sodass ein erster Abschnitt des ersten Metalls (132) jedes der Nanosheets (104) des ersten Nanosheet-Stapels (134) umgibt undein zweiter Abschnitt des ersten Metalls (132) jedes der Nanosheets des zweiten Nanosheet-Stapels (136) umgibt;ein Bilden eines Isolationsbereichs (128) zwischen dem ersten Nanosheet-Stapel (134) und dem zweiten Nanosheet-Stapel (136);ein Entfernen des zweiten Abschnitts des ersten Metalls (132), der den zweiten Nanosheet-Stapel (136) umgibt, durch einen Ätzprozess, wobei der Isolationsbereich (128) verhindert, dass der Ätzprozess den ersten Abschnitt des ersten Metalls (132) erreicht, und dadurch verhindert wird, dass der erste Abschnitt des ersten Metalls (132) entfernt wird;ein Entfernen des Isolationsbereichs (128);ein Abscheiden eines zweiten Metalls (154), das jedes der Nanosheets des zweiten Nanosheet-Stapels (136) umgibt; undwobei das Bilden des Isolationsbereichs (128) umfasst:Bilden des Isolationsbereichs (128) vor dem Abscheiden des ersten Metalls (132), sodass der erste Abschnitt des ersten Metalls (132) lediglich mit dem zweiten Abschnitt des ersten Metalls (132) durch eine Schicht aus dem ersten Metall (132) verbunden ist, das um den Isolationsbereich (128) herum gebildet wird.

Description

  • Hintergrund
  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft das Design von integrierten Schaltungen und insbesondere die Bildung von Austrittsarbeitsmetallen für Nanosheet-Transistorvorrichtungen.
  • Hintergrund
  • Ein Nanosheet-Transistor stellt eine Art von Feldeffekttransistor (FET) dar, der eine Mehrzahl von gestapelten Nanosheets umfasst, die sich zwischen einem Paar von Source/Drainbereichen erstrecken. FETs umfassen typischerweise dotierte Source/Drainbereiche, die in einem Halbleitersubstrat gebildet werden und durch ein Kanalgebiet getrennt sind. Über dem Kanalgebiet ist eine Gateisolationsschicht angeordnet und eine leitfähige Gateelektrode ist über der Gateisolationsschicht angeordnet. Die Gateisolationsschicht und die Gateelektrode können zusammen als Gatestapel der Vorrichtung bezeichnet werden. Durch Anlegen einer geeigneten Spannung an die Gateelektrode wird das Kanalgebiet leitfähig und es kann ein Strom kann vom Sourcebereich zum Drainbereich fließen.
  • Zur Verbesserung der Betriebsgeschwindigkeit der FETs und zur Erhöhung der Dichte der FETs auf einer integrierten Schaltung (IC) wurde die Größe des Designs graduell verringert. Eine Verringerung der Größe und der Kanallänge von FETs kann die Schaltgeschwindigkeit der FETs verbessern. Bei der Verringerung der Merkmalsgrößen von FETs und ICs tritt eine Vielzahl von Herausforderungen auf. Zum Beispiel kann eine starke Verkleinerung von traditionellen planaren FETs elektrostatische Probleme und eine Verschlechterung der Beweglichkeit von Elektronen hervorrufen. Verkleinerte planare FETs können kürzere Gatelängen aufweisen, die eine Steuerung des Kanals erschweren. Neue Vorrichtungsarchitekturen, wie z. B. „Gate-All-Around“-Nanodraht- oder -Nanosheet-Strukturen erlauben eine weitere Skalierung von ICs, zum Teil deshalb, weil das Gate strukturiert wird, sodass es sich um den Kanal wickelt. Diese Struktur kann eine bessere Kontrolle bei niedrigerem Verluststrom, schnellerem Betrieb und niedrigerem Ausgangswiderstand bereitstellen.
  • In sehr kleinen Transistoren, wie z. B. Nanosheet-FETs, werden Metallgates verwendet, um unerwünschte Schwankungen in der Schwellspannung zu vermeiden. Die Schwellspannung eines FET stellt die kleinste Spannung dar, die erforderlich ist, um den leitfähigen Pfad zwischen Source und Drain hervorzurufen. Ein Metallgate wird zusammen mit einem Austrittsarbeitsmetall und einer Schicht mit einer hohen Dielektrizitätskonstante (High-k) in einer Kombination verwendet, die als High-k-Metallgate (HKMG) bekannt ist. Das Austrittsarbeitsmetall ist typischerweise zwischen der High-k-Schicht und dem Metallgate angeordnet und wird zum Einstellen der Schwellspannung des Transistors verwendet. Verschiedene Transistoren können unterschiedliche Schwellspannungen und demzufolge unterschiedliche Austrittsarbeitsmetalle erfordern. Zum Beispiel kann ein PFET (ein FET mit einem Kanal, der Löcher umfasst) ein gegenüber einem NFET (ein FET mit einem Kanal, der Elektronen umfasst) verschiedenes Austrittsarbeitsmetall erfordern. In Nanosheet-Vorrichtungen kann es wichtig sein, dass sich das Austrittsarbeitsmetall vollständig um die Nanosheets wickelt, um einen ausreichenden zuverlässigen Kontakt zu bilden.
  • Einige integrierte Schaltungen, die als bimetallische integrierte Schaltungen bekannt sind, umfassen NFETs und PFETs. Diese bimetallischen integrierten Schaltungen können wenigstens zwei unterschiedliche Austrittsarbeitsmetalle erfordern, eines für PFETs und eines für NFETs. In einigen Fällen kann das Design einer integrierten Schaltung einen PFET direkt neben einem NFET umfassen. In einem solchen Szenario kann es schwierig sein, die verschiedenen Austrittsarbeitsmetalle vollständig um die Nanosheets der benachbarten FETs abzuscheiden. Zum Beispiel muss das erste Austrittsarbeitsmetall von dem FET entfernt werden, der ein zweites Austrittsarbeitsmetall erfordert, nachdem ein erstes Austrittsarbeitsmetall auf beide FETs angewendet wurde. Durch ein isotropes Ätzen kann das erste Austrittsarbeitsmetall zwischen den Nanosheets des FETs entfernt werden, der das zweite Austrittsarbeitsmetall umfasst. Ein isotropes Ätzen kann das Austrittsarbeitsmetall zwischen den Blättern des Ziel-FETs wegätzen, jedoch folgt es gleichzeitig der Schicht aus Austrittsarbeitsmetall zu dem benachbarten FET, wobei ein Unterschnitt hervorgerufen wird. Während der Zeit, die zum Entfernen des ersten Austrittsarbeitsmetalls zwischen den Blättern des Ziel-FET erforderlich ist, kann sich der Unterschnitt weit genug erstrecken, um die Nanosheets des benachbarten FET freizulegen.
  • Bekannt sind Nanosheetartige Vorrichtungen in CMOS-Technik und mit verschiedenen Austrittsarbeitsmaterialien aus US 2016 / 0 254 261 A1 sowie Mertens, H. et. al. „Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors with Dual Work Function Metal Gates.“, IEDM, 2016, S. 524-527.
  • Zusammenfassung
  • Erfindungsgemäß ist ein Verfahren nach den Ansprüchen 1 oder 6.
  • Figurenliste
  • Die Ausführungsformen dieser Erfindung werden mit Bezug auf die folgenden Figuren ausführlich beschrieben, wobei ähnliche Bezugszeichen ähnliche Elemente bezeichnen und wobei:
    • 1 eine ebene Ansicht einer Mehrzahl von Nanosheet-Stapeln und Gatebereichen gemäß der vorliegenden Erfindung zeigt.
    • 2A eine Querschnittansicht entlang der Linie X-X in 1 von Source/Drainbereichen, die auf einem Substrat gebildet sind, Nanosheet-Stapeln und Dummy-Gates (im Folgenden Austauschgates genannt) gemäß der vorliegenden Erfindung zeigt.
    • 2B eine Querschnittansicht entlang der Linie Y-Y in 1 von Nanosheet-Stapeln und Austauschgates zeigt, die gemäß der vorliegenden Erfindung gebildet werden.
    • 2C eine Querschnittansicht entlang der Linie Z-Z in 1 von Austauschgates zeigt, die gemäß der vorliegenden Erfindung gebildet werden.
    • 3A eine ebene Ansicht von Austauschgates, Gateschnittisolationsbereichen und Nanosheets gemäß der vorliegenden Erfindung zeigt.
    • 3B in einer Querschnittansicht entlang der Linie Z-Z in 3A ein Bilden der Gateschnittisolationsbereiche gemäß der vorliegenden Erfindung zeigt.
    • 3C in einer Querschnittansicht entlang der Linie Y-Y in 3A ein Bilden der Gateschnittisolationsbereiche gemäß der vorliegenden Erfindung zeigt
    • 4 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernens des Austauschgates gemäß der vorliegenden Erfindung zeigt.
    • 5 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Abscheiden einer High-k-Dielektrikumsschicht und eines ersten Austrittsarbeitsmetalls gemäß der vorliegenden Erfindung zeigt.
    • 6 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Maskieren eines ersten Nanosheet-Stapels gemäß der vorliegenden Erfindung zeigt.
    • 7 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen eines Abschnitts des ersten Austrittsarbeitsmetalls von dem zweiten Nanosheet-Stapel gemäß der vorliegenden Erfindung zeigt.
    • 8 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen der Maske von dem ersten Nanosheet-Stapel gemäß der vorliegenden Erfindung zeigt.
    • 9A in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen der Gateschnittisolationsbereiche gemäß der vorliegenden Erfindung zeigt.
    • 9B in einer Querschnittansicht entlang der Linie Z-Z in 1 ein Entfernen der Gateschnittisolationsbereiche gemäß der vorliegenden Erfindung zeigt.
    • 10A in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Abscheiden eines zweiten Austrittsarbeitsmetalls gemäß der vorliegenden Erfindung zeigt.
    • 10B in einer Querschnittansicht entlang der Linie Z-Z in 1 ein Abscheiden eines zweiten Austrittsarbeitsmetalls gemäß der vorliegenden Erfindung zeigt.
    • 11 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Abscheiden einer High-k-Dielektrikumsschicht und eines ersten Austrittsarbeitsmetalls auf den Nanosheet-Stapeln gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 12 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen eines Abschnitts des Gates und ein Bilden eines Gateschnittisolationsbereichs gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 13 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen eines Abschnitts des ersten Austrittsarbeitsmetalls von dem zweiten Nanosheet-Stapel gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 14 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen des Gateschnittisolationsbereichs gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 15 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Abscheiden eines zweiten Austrittsarbeitsmetalls gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 16 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Maskieren eines ersten Nanosheet-Stapels gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 17 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein gerichtetes Ätzen gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 18 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Bilden eines Isolationsbereichs gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 19 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Maskieren des zweiten Nanosheet-Stapels gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 20 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen der Maske von dem zweiten Nanosheet-Stapel gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 21 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen eines Abschnitts des Isolationsbereichs gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 22 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen des ersten Metalls von dem zweiten Nanosheet-Stapel gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 23 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen einer Maske von den ersten und zweiten Nanosheet-Stapeln gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 24 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Ätzen durch einen Abschnitt des ersten Metalls zum Freilegen des Substrats gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 25 in einer Querschnittansicht entlang der Linie Y-Y in 1 gemäß einer weiteren Ausführungsform der vorliegenden Erfindung ein Entfernen der Maske zeigt, die die ersten und zweiten Nanosheet-Stapel bedeckt.
    • 26 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Bilden einer weichen Maske, die den ersten Abschnitt des ersten Metalls, einen Abschnitt des ersten Metalls, der mit dem ersten Abschnitt verbunden ist, und das freilegende Substrat bedeckt, und ein Entfernen des zweiten Abschnitts des ersten Metalls von dem zweiten Nanosheet-Stapel gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
    • 27 in einer Querschnittansicht entlang der Linie Y-Y in 1 ein Entfernen der Maske, die das erste Metall und das freiliegende Substrat bedeckt, gemäß einer weiteren Ausführungsform der vorliegenden Erfindung zeigt.
  • Die Figuren der Erfindung sind nicht maßstabsgetreu. Die Figuren sollen lediglich typische Aspekte der Erfindung darstellen und sind folglich nicht als beschränkend anzusehen. In den Figuren stellen ähnliche Nummerierungen ähnliche Elemente in den Figuren dar.
  • Detaillierte Beschreibung
  • Ausführungsformen der vorliegenden Erfindung stellen Verfahren zur Strukturierung von Austauschmetallgates von Nanosheet-Transistorvorrichtungen bereit, die in integrierten Schaltungen (IC) eingesetzt werden können. Ein Nanosheet-Transistor betrifft einen Transistor mit einem Gate, das auf einem Nanosheet-Stapel zwischen einem Paar von Source/Drainbereichen angeordnet ist, und einer Mehrzahl von Nanosheets, die sich zwischen dem Paar von Source/Drainbereichen erstrecken. Die Nanosheets sind vertikal durch Opferschichten voneinander beabstandet.
  • In sehr kleinen Transistoren, wie z. B. Nanosheet-FETs, werden Metallgates verwendet, um unerwünschte Schwankungen in der Schwellspannung zu vermeiden. Die Schwellspannung eines FETs stellt die kleinste Spannung dar, die erforderlich ist, um den leitfähigen Pfad zwischen Source und Drain zu erzeugen. Ein Metallgate kann zusammen mit einem Austrittsarbeitsmetall und einer Schicht aus einem Material mit einer hohen Dielektrizitätskonstanten (High-k) verwendet werden, um ein High-k-Metallgate (HKMG) zu bilden. Das Austrittsarbeitsmetall kann zwischen der High-k-Schicht und dem Metallgate angeordnet sein und kann verwendet werden, um die Schwellspannung des Transistors einzustellen. Unterschiedliche Transistoren können unterschiedliche Schwellspannungen und demzufolge unterschiedliche Austrittsarbeitsmetalle erfordern. Zum Beispiel kann ein PFET (ein FET mit einem Kanal, der Löcher umfasst) gegenüber einem NFET (ein FET mit einem Kanal, der Elektronen umfasst) ein unterschiedliches Austrittsarbeitsmetall erfordern. In Nanosheet-Vorrichtungen kann sich das Austrittsarbeitsmetall vollständig um die Nanosheets wickeln, um einen zuverlässigeren Kontakt zu bilden.
  • Einige integrierte Schaltungen, die als bimetallische integrierte Schaltungen bekannt sind, umfassen NFETs und PFETs. Diese bimetallischen integrierten Schaltungen verwenden im Allgemeinen zwei oder mehr unterschiedliche Austrittsarbeitsmetalle, z. B. eines für PFETs und eines für NFETs. In einigen Fällen kann ein IC-Design einen PFET direkt neben einem NFET umfassen. In einem solchen Szenario kann es schwierig sein, die unterschiedlichen Austrittsarbeitsmetalle vollständig um die Nanosheets der benachbarten FETs herum abzuscheiden. Nachdem ein erstes Austrittsarbeitsmetall auf beide FETs angewendet wurde, kann es z. B. erforderlich sein, dass das erste Austrittsarbeitsmetall von dem FET entfernt wird, der ein zweites Austrittsarbeitsmetall erfordert. Es kann ein isotropes Ätzen erforderlich sein, um das erste Austrittsarbeitsmetall zwischen den Nanosheets des FETs zu entfernen, der das zweite Austrittsarbeitsmetall erfordert. Ein isotropes Ätzen kann ein Nassätzen oder ein anderes chemisches Ätzen umfassen. Durch das isotrope Ätzen wird das Austrittsarbeitsmetall zwischen den Blättern des Ziel-FET weggeätzt, jedoch setzt sich das isotrope Ätzen gleichzeitig entlang der Schicht aus dem Austrittsarbeitsmetall zu dem benachbarten FET fort, wodurch eine Unterätzung erfolgt. Nachdem das erste Austrittsarbeitsmetall zwischen den Blättern des Ziel-FET entfernt wurde, kann sich der resultierende Unterschnitt weit genug erstrecken, sodass die Nanosheets des benachbarten FET freiliegen.
  • Die vorliegende Erfindung stellt ein Verfahren zur Austauschmetallgate (HKRMG) - Strukturierung für Nanosheet-Vorrichtungen bereit. In einigen Ausführungsformen kann ein Gateschnittisolationsbereich den Unterschnitt verringern oder eliminieren, sodass benachbarte Nanosheets durch den Unterschnitt nicht freigelegt werden. Die resultierende integrierte Schaltung (IC) kann zwei unterschiedliche Austrittsarbeitsmetalle umfassen, die auf benachbarten Nanosheet-Stapeln abgeschieden werden.
  • Die vorliegende Erfindung wird hinsichtlich einer gegebenen anschaulichen Architektur mit einem Siliziumsubstrat beschrieben, jedoch können andere Architekturen, Strukturen, Substratmaterialien und Prozessmerkmale und Schritte innerhalb des Wesens der Erfindung variiert werden.
  • Wenn ein Element, wie z. B. eine Schicht, ein Bereich oder ein Substrat, als „auf“ oder „über“ einem anderen Element bezeichnet werden, kann es direkt auf dem anderen Element sein oder es können dazwischenliegende Elemente vorhanden sein. Im Gegensatz dazu, wenn ein Element als „direkt auf“ oder „direkt über“ angezeigt wird, kann kein Element dazwischen liegen. Wenn ein Element als „verbunden“ oder „gekoppelt“ mit einem anderen Element angezeigt wird, kann es mit dem anderen Element direkt verbunden oder damit gekoppelt sein oder es können dazwischen liegende Elemente vorhanden sein. Im Gegensatz dazu, wenn ein Element als „direkt verbunden“ oder „direkt gekoppelt“ mit einem anderen Element angezeigt wird, liegen keine Elemente dazwischen.
  • Verfahren, die hierin beschrieben sind, können zur Herstellung von IC-Chips verwendet werden. Die sich ergebenden integrierten Schaltungschips können mit anderen Chips, diskreten Schaltungselementen und/oder anderen signalverarbeitenden Vorrichtungen als Teil von (a) einem Zwischenprodukt, wie z. B. einem Motherboard, oder (b) einem Endprodukt integriert sein. Das Endprodukt kann ein beliebiges Produkt sein, das integrierte Schaltungschips umfasst.
  • Eine Bezugnahme in der Beschreibung auf „eine Ausführungsform“ der vorliegenden Erfindung, sowie andere Abwandlungen davon, bedeutet, dass ein spezielles Merkmal, eine spezielle Struktur, Eigenschaft usw., die in Verbindung mit der Ausführungsform beschrieben wird, in wenigstens einer Ausführungsform der vorliegenden Erfindung vorgesehen ist. Demzufolge betreffen die Ausdrücke „in einer Ausführungsform“ oder „in einer einzelnen Ausführungsform“, sowie jede Abwandlung, die in verschiedenen Stellen entlang der Beschreibung auftritt, nicht unbedingt die gleiche Ausführungsform.
  • Die Verwendung von einem beliebigen der folgenden „/“, „und/oder“ und „wenigstens eines aus“, z. B. im Fall von „A/B“, „A und/oder B‟ und „wenigstens eines aus A und B'' soll die Auswahl allein der als erstes aufgelisteten Option (a) oder die Auswahl allein der zweiten aufgelisteten Option (B) oder die Auswahl der beiden Optionen (A und B) umfassen. Als ein weiteres Beispiel im Falle von ''A, B und/oder C“ und „wenigstens eines aus A, B und C'' soll diese Ausdrucksweise die als erstes aufgelistete Option (A) alleine oder die Auswahl der zweiten aufgelisteten Option (B) alleine oder die Auswahl der dritten aufgelisteten Option (C) alleine oder die Auswahl der ersten und der zweiten aufgelisteten Optionen (A und B) alleine oder die Auswahl der ersten und dritten aufgelisteten Optionen (A und C) alleine oder die Auswahl der zweiten und dritten aufgelisteten Optionen (B und C) alleine oder die Auswahl aller drei Optionen (A und B und C) umfassen. Dieses kann für eine beliebige Anzahl aufgelisteter Elemente erweitert werden.
  • Mit Bezug nun auf die Figuren, in denen ähnliche Bezugszeichen gleiche oder ähnliche Elemente bezeichnen, stellt 1 eine ebene Ansicht einer Mehrzahl von Nanosheet-Stapeln 104 und Gates 106 dar. 1 zeigt die Mehrzahl von Gates 106, die sich zwischen zwei benachbarten Nanosheet-Stapeln 104 erstrecken. 1 zeigt eine Querschnittslinie Y-Y, die sich entlang eines Gates 106 und senkrecht zu den Nanosheet-Stapeln 104 erstreckt. 1 zeigt auch eine Querschnittslinie X-X, die sich entlang eines Nanosheet-Stapels 104 und senkrecht zu der Mehrzahl von Gates 106 erstreckt. 1 zeigt auch eine Querschnittslinie Z-Z, die sich senkrecht zu der Mehrzahl von Gates 106 und zwischen den Nanosheet-Stapeln 104 erstreckt.
  • Die 2A, 2B und 2C zeigen jeweils eine vorab existierende Nanosheet-Vorrichtung 110. Die Bildung einer vorab existierenden Nanosheet-Vorrichtung 110 kann ein Bilden von Source/Drainbereichen 108 auf einem Substrat 112 umfassen. In einer Ausführungsform können Source/Drainbereiche 108 aus Seitenwänden von Nanosheets 118 epitaktisch gewachsen werden. Das in 2A dargestellte Substrat 112 umfasst eine Dielektrikumsschicht 114, die auf einer Halbleiterschicht 116 angeordnet ist. Das Substrat 112 kann jedoch ein Siliziumsubstrat, ein Silizium-auf-Isolator (SOI) -Substrat oder ein anderes Substratmaterial umfassen, ohne von der vorliegenden Erfindung abzuweichen. Die Bildung von einer vorab existierenden Nanosheet-Vorrichtung 110 kann auch ein Bilden einer Mehrzahl von Nanosheet-Stapeln 104 umfassen, die sich zwischen Source/Drainbereichen 108 erstrecken. Jeder aus der Mehrzahl von Nanosheet-Stapeln 104 kann eine Mehrzahl von alternierenden Nanosheets 118 und Opferschichten 120 umfassen. Auf jedem der Nanosheet-Stapel 104 kann ein Austauschgate 122 angeordnet sein. Das Austauschgate 122 kann ein Halbleitermaterial umfassen. In einer Ausführungsform kann ein Austauschgate 122 ein amorphes Silizium (a-Si) umfassen, das auf einer dünnen Siliziumdioxid (SiO2) -Schicht angeordnet ist. In einer Ausführungsform kann ein Raum zwischen benachbarten Austauschgates 122 mit einem Dielektrikum 164 gefüllt sein. In einer Ausführungsform kann das Dielektrikum 164 durch eine chemische Gasphasenabscheidung (CVD), eine chemische Gasphasenabscheidung mit hochdichtem Plasma (high-density plasma chemical vapor deposition, HDP-CVD) oder einem Prozess mit hohem Aspektverhältnis (high-aspect ratio process, HARP) gebildet werden. Das Dielektrikum 164 kann ein Zwischenebenen- oder Intra-Ebenen-Dielektrikumsmaterial mit anorganischen Dielektrikumsmaterialien, organischen Dielektrikumsmaterialien oder Kombinationen davon umfassen. Geeignete dielektrische Materialien umfassen mit Kohlenstoff dotierte Siliziumdioxidmaterialien; Fluorsilikatgläser (FSG); organische polymerische Duroplastmaterialien; Siliziumoxykarbid; SiCOH-Dielektrika; mit Fluor dotiertes Siliziumoxid; aufgeschleuderte Gläser; Silsesquioxane, umfassend Wasserstoffsilsesquioxan (HSQ), Methylsilsesquioxan (MSQ) und Mischungen oder Copolymere aus HSQ und MSQ; Polymerdielektrika basierend auf Benzocyclobuten (BCB) und jedes Low-k-Dielektrikum mit Silizium. Beispiele von aufgeschleuderten Low-k-Filmen mit einer Zusammensetzung vom SiCOH-Typ unter Verwendung einer Chemie aus Silsesquioxan umfassen HOSP™ (erhältlich von Honeywell), JSR 5109 und 5108 (erhältlich von Japan Synthetic Rubber), Zirkon™ (erhältlich von Shipley Microelectronics, eine Tochter von Rohm and Haas) und poröse Low-k (ELk) -Materialien (erhältlich von Applied Materials). Beispiele von mit Kohlenstoff dotierten Siliziumdioxidmaterialien oder Organosilane umfassen Black Diamond™ (erhältlich von Applied Materials) und Coral™ (erhältlich von Lam Research). Ein Beispiel eines HSQ-Materials ist FOx™ (erhältlich von Dow Corning). In einer Ausführungsform kann das Dielektrikum 164 ein Oxid umfassen. In einer Ausführungsform kann das Verfahren ein Planarisieren des Dielektrikums 164 umfassen. Die Planarisierung des Dielektrikums 164 kann durch chemisch-mechanisches Polieren (CMP) auftreten. In einer speziellen Ausführungsform kann die Planarisierung des Dielektrikums 164 durch einen poly open CMP (POC) auftreten.
  • 2A zeigt einen Querschnitt einer vorab existierenden Nanosheet-Vorrichtung 110 entlang der Linie X-X in 1. 2B zeigt einen Querschnitt einer vorab existierenden Nanosheet-Vorrichtung 110 entlang der Linie Y-Y in 1. 2C zeigt einen Querschnitt einer vorab existierenden Nanosheet-Vorrichtung 110 entlang der Linie Z-Z in 1. Diese Orientierungen ziehen sich durch die Figuren.
  • In einer Ausführungsform können die Opferschichten 120 Siliziumgermanium (SiGe) umfassen. Die Nanosheets 118 können ein halbleitendes Material umfassen, jedoch nicht beschränkend, Silizium, Germanium, Siliziumgermanium, Siliziumkohlenstoff und jene, die im Wesentlichen aus wenigstens einer Ill-V-Halbleiterverbindung mit einer Zusammensetzung bestehen, die durch die Formel Alx1Gax2lnx3AsYiPv2NY3SbY4 definiert ist, wobei X1, X2, X3, Y1, Y2, Y3 und Y4 relative Proportionalitäten darstellen, die jeweils größer oder gleich Null sind und X1 + X2 + X3 + Y1 + Y2 + Y3 + Y4 = 1 (wobei 1 die totale relative Molgröße darstellt). Andere geeignete Substrate umfassen II-VI-Halbleiterverbindungen mit einer Zusammensetzung aus ZnA1CdA2SeB,TeB2, wobei A1, A2, B1 und B2 relative Proportionalitäten darstellen, die jeweils größer oder gleich Null sind und A1 + A2 + B1 + B2 = 1 (1 ist eine totale Molgröße).
  • In einer speziellen Ausführungsform können Nanosheets 118 Silizium (Si) umfassen. Nanosheet-Stapel 104 können alternierende Schichten aus SiGe-Opferschichten 120 und Si-Nanosheets 118 umfassen. Nanosheet-Stapel 104 können wenigstens ein Nanosheet 118 umfassen. In einer Ausführungsform umfassen Nanosheet-Stapel 104 drei Nanosheets 118. Das Substrat 112 kann eine vergrabene Isolatorschicht 114 über einer Halbleitervollsubstratschicht 116 umfassen. Die vergrabene Isolatorschicht 114 kann z. B. Siliziumoxid umfassen und die Halbleiterschicht 116 kann ein beliebiges Halbleitermaterial umfassen, das für Nanosheets 118 aufgeführt wird.
  • 2A, 2B und 2C zeigen auch, dass die vorab existierende Nanosheet-Vorrichtung 110 ein Bilden einer Mehrzahl von erhöhten Source/Drain (S/D) -Bereichen 108 umfasst. Ein erhöhter S/D-Bereich 108 kann neben einem Nanosheet-Stapel 104 gebildet werden, so dass Nanosheets 118 zwischen Abschnitten eines benachbarten Nanosheet-Stapels 104 gebildet werden können, so dass sich die Nanosheets 118 zwischen Abschnitten eines benachbarten erhöhten S/D-Bereichs 108 erstrecken. Die S/D-Bereiche 108 können in der ebenen Ansicht aus 1 aus Klarheitsgründen bezüglich der Orientierung von Gates 106 und Nanosheets 118 in verschiedenen Querschnitten nicht dargestellt sein. Die Nanosheets 118 erstrecken sich nicht unbedingt durch die S/D-Bereiche 108. In einer Ausführungsform erstrecken sich die Nanosheets 118 zwischen benachbarten S/D-Bereichen 108 und erstrecken sich nicht durch die S/D-Bereiche 108. In einer Ausführungsform können S/D-Bereiche 108 epitaktisch gewachsen werden. Die Ausdrücke „epitaktisch gewachsen“ und „epitaktisch gebildet und/oder gewachsen“ bedeuten, dass ein Halbleitermaterial auf einer Abscheidungsoberfläche eines Halbleitermaterials gewachsen wird, wobei das gewachsene Halbleitermaterial die gleichen Kristalleigenschaften aufweisen kann wie das Halbleitermaterial der Abscheidungsfläche. In einem epitaktischen Aufwachsprozess werden die chemischen Reaktanten, die durch die Quellgase bereitgestellt werden, gesteuert und die Systemparameter werden festgelegt, so dass die abscheidenden Atome an der Abscheidungsoberfläche des Halbleitersubstrats mit ausreichender Energie ankommen, um sich auf der Oberfläche herum zu bewegen und sich bezüglich der Kristallanordnung der Atome der Abscheidungsoberfläche zu orientieren. Demzufolge kann das epitaktische Halbleitermaterial die gleichen Kristalleigenschaften aufweisen wie die Abscheidungsoberfläche, auf der es gebildet wird. Zum Beispiel kann ein epitaktisches Halbleitermaterial, das auf einer {100}-Kristalloberfläche abgeschieden wird, eine {100}-Orientierung annehmen. In einigen Ausführungsformen können epitaktische Aufwachsprozesse selektiv sein, um Halbleiteroberflächen zu bilden, und es wird nicht unbedingt ein Material auf dielektrischen Oberflächen abgeschieden, wie z. B. Siliziumdioxid oder Siliziumnitridoberflächen. In einer speziellen Ausführungsform können S/D-Bereiche 108 durch ein epitaktisches Wachsen von stark dotiertem Silizium (Si) oder Siliziumgermanium (SiGe) gebildet werden.
  • Eine Gatehartmaske 124 kann über den Austauschgates 122 unter Verwendung einer beliebigen bekannten oder später entwickelten Technik gebildet werden, z. B. durch ein Abscheiden von Siliziumnitrid (SiN). Zum Beispiel kann die Gatehartmaske 124 in einer Ausführungsform ein Material umfassen, wie z. B. SiN, das auf dem Austauschgate 122 abgeschieden wird. In einer solchen Ausführungsform können Versatzabstandshalter 126 durch Entfernen eines Abschnitts der Opferschichten 120 selektiv zu Nanosheets 118 und ein Abscheiden von SiN gebildet werden, um die entfernten Abschnitte der Opferschichten 120 zu ersetzen. Die Gatehartmaske 124 und Versatzabstandshalter 126 können unterschiedliche Materialien umfassen. In einer Ausführungsform können zum Beispiel die Gatehartmaske 124 SiN und die Versatzabstandshalter 126 SiBCN (Siliziumborkohlenstoffnitrid) umfassen. Die Bildung von Versatzabstandshaltern 126 kann einen Herabziehungsbildungsprozess für Anbstandshalter, eine Seitenwandbildübertragung (SIT), eine Atomlagenabscheidung (ALD), ein reaktives lonenätzen (RIE) oder eine beliebige bekannte oder später entwickelte Technik zur Bildung von Versatzabstandshaltern 126 umfassen. In einer Ausführungsform können Source/Drainbereiche 108 aus Seitenwänden von Nanosheets 118 gewachsen werden, nachdem Versatzabstandshalter 126 gebildet werden.
  • 3A zeigen eine ebene Ansicht von Austauschgates 122, Nanosheets 118 und eine Mehrzahl von Gateschnittisolationsbereichen 128. 3B zeigt einen Querschnitt von 3A entlang der Linie Z-Z und 3C zeigt einen Querschnitt von 3A entlang der Linie Y-Y. Wie in 3C klar dargestellt ist, kann ein Abschnitt von jedem der Austauschgates 122 an einer Stelle zwischen den Nanosheet-Stapeln 104 entfernt und durch einen Gateschnittisolationsbereich 128 ausgetauscht werden. In einer Ausführungsform werden die Austauschgates durch ein Ätzen durch die Gatehartmaske 124 und das Austauschgate 122 selektiv zu dem Substrat 112 eingeschnitten. In einer Ausführungsform umfasst das Einschneiden des Austauschgates 122 ein Anwenden einer Maske (nicht dargestellt) auf die Gatehartmaske 124 und ein Entfernen von Material des Austauschgates 122 unter Verwendung eines Plasmaätzens, reaktiven Ionenätzens oder eines anderen anisotropen Ätzprozesses. Gateschnittisolationsbereiche 128 können abgeschieden werden, um das von dem Austauschgate 122 entfernte Material auszutauschen. In einer Ausführungsform umfassen Gateschnittisolationsbereiche 128 ein Nitridmaterial. In einer speziellen Ausführungsform umfassen Gateschnittisolationsbereiche 128 Siliziumnitrid.
  • 4 zeigt einen Prozess zum Entfernen des Austauschgates 122. Das Entfernen des Austauschgates 122 kann ein Entfernen von Dielektrikumsschichten und der Gatehartmaske 124 über dem Austauschgate 122 (in 3A und 3B dargestellt) mittels eines chemisch-mechanischen Polierens (CMP) umfassen. Das Entfernen des Austauschgates 122 kann auch ein zum Substrat 112, den Nanosheets 118 und den Gateschnittisolationsbereichen 128 selektives isotropes Ätzen umfassen. In einer Ausführungsform kann das Entfernen des Austauschgates 122 ein Entfernen des a-Si selektiv zu SiO2 umfassen, gefolgt von einem kurzen Entfernen von SiO2, um Nanosheets 118 freizulegen. In einer Ausführungsform kann das a-Si selektiv zu SiO2 durch ein Nassätzen mit heißem Ammoniak oder ein Nassätzen mit Tetramethylammoniumhydroxid (TMAH) entfernt werden. Die dünne Schicht aus SiO2 kann durch ein Ätzen mit verdünnter Flusssäure (DHF) entfernt werden.
  • 5 zeigt einen Prozess eines Abscheidens einer High-k-Dielektrikumsschicht 130 und eines ersten Austrittsarbeitsmetalls 132. Die High-k-Metallschicht 130 kann auf Nanosheets 118 durch eine Atomlagenabscheidung (ALD), eine thermische Atomlagenabscheidung oder einen ähnlichen Prozess, der zur Abscheidung eines High-k-Dielektrikums jetzt bekannt oder später entwickelt wird, abgeschieden werden. In einer Ausführungsform kann die High-k-Schicht 130 auf Nanosheets 118 abgeschieden werden, sodass die High-k-Schicht 130 jedes Nanosheet 118 vollständig umgibt. In einer Ausführungsform kann die High-k-Schicht 130 auf Nanosheets 118, dem Substrat 112, der Gatehartmaske 124 und Gateschnittisolationsbereichen 128 abgeschieden werden. In einer Ausführungsform kann die High-k-Schicht 130 dünn sein, sodass die High-k-Schicht 130 keinen Raum zwischen Nanosheets 118 abschnürt. Das erste Austrittsarbeitsmetall 132 kann über der High-k-Schicht 130 abgeschieden werden, sodass das erste Austrittsarbeitsmetall 132 jedes Nanosheet 118 in den Nanosheet-Stapeln 104 vollständig umgibt. In einer Ausführungsform kann das erste Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 mit dem ersten Austrittsarbeitsmetall 132 über dem zweiten Nanosheet-Stapel 136 lediglich durch einen Abschnitt des ersten Austrittsarbeitsmetalls 132 verbunden werden, das um den Isolationsbereich 128 gebildet ist. In einer Ausführungsform kann das erste Austrittsarbeitsmetall 132 jeden verbleibenden Raum zwischen einzelnen Nanosheets 118 von jedem Nanosheet-Stapel 104 vollständig füllen.
  • 6 zeigt einen Prozess eines Maskierens eines ersten Nanosheet-Stapels 134 und eines Freilegens eines zweiten Nanosheet-Stapels 136. Das Maskieren des ersten Nanosheet-Stapels 134 kann ein Abscheiden einer organischen Einebnungsschicht (OPL) 138 oder eines anderen weichen Maskenmaterials über dem ersten Nanosheet-Stapel 134 und dem zweiten Nanosheet-Stapel 136 und ein Strukturieren einer Maske 142 über dem ersten Nanosheet-Stapel 134 umfassen. Die Maske 142 kann ein Fotolackmaterial 144 und eine antireflektierende Beschichtung 146 zwischen dem Fotolackmaterial 144 und der OPL 138 umfassen. Die antireflektierende Beschichtung 146 kann eine Silizium aufweisende antireflektierende Beschichtung (SiARC) oder ein anderes antireflektierendes Beschichtungs (ARC) -Material umfassen, wie z. B. TiARC, TiOx, SiON, usw. In einer Ausführungsform umfasst ein Bilden der Maske 142 ein Abscheiden einer antireflektierenden Beschichtung 146 über der OPL 138 und dann ein Abscheiden des Fotolackmaterials 144 über der antireflektierenden Beschichtung 146. Das Fotolackmaterial 144 kann von der antireflektierenden Beschichtung 146 über dem zweiten Nanosheet-Stapel 136 durch einen Lithografieprozess entfernt werden. Die antireflektierende Beschichtung 146 und die OPL 138 über dem zweiten Nanosheet-Stapel 136 können durch einen reaktiven lonenätz (RIE) -Prozess entfernt werden, um das erste Austrittsarbeitsmetall 132 über dem zweiten Nanosheet-Stapel 136 freizulegen. Während des RIE-Prozesses kann das Fotolackmaterial 144 von dem ersten Nanosheet-Stapel 134 entfernt werden.
  • Das Freilegen des zweiten Nanosheet-Stapels 136 kann ein anisotropes Ätzen der Fotolackschicht 144 und der freigelegten OPL 138 selektiv zu dem ersten Austrittsarbeitsmetall 132 umfassen. Das anisotrope Ätzen kann reaktiv ein reaktives lonenätzen (RIE) oder einen anderen geeigneten anisotropen Ätzprozess umfassen, der jetzt bekannt oder später entwickelt wird. Als ein Ergebnis kann gemäß der in 6 dargestellten Ausführungsform der erste Nanosheet-Stapel 134 mit einer antireflektierenden Beschichtung 146 maskiert werden, wobei das OPL 138 über dem ersten Nanosheet-Stapel 134 verbleibt. Das erste Austrittsarbeitsmetall 132 auf dem zweiten Nanosheet-Stapel 136 kann freiliegen. In einer Ausführungsform kann dann die antireflektierende Beschichtung 146 durch ein Ätzmittel selektiv zu der OPL 138 und einem Austrittsarbeitsmetall 132 entfernt werden. Gemäß der Darstellung in 6 kann die OPL 138 das erste Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 und einem Abschnitt des ersten Austrittsarbeitsmetalls 132 um den Isolationsbereich 128 bedecken.
  • 7 zeigt eine Querschnittansicht eines Prozesses, umfassend ein Entfernen eines Abschnitts des ersten Austrittsarbeitsmetalls 132 von dem zweiten Nanosheet-Stapel 136. Das erste Austrittsarbeitsmetall 132 kann von einem zweiten Nanosheet-Stapel 136 durch ein isotropes Ätzen entfernt werden. Ein isotropes Ätzen kann erforderlich sein, um das erste Austrittsarbeitsmetall 132 zwischen den Nanosheets 118 des zweiten Nanosheet-Stapels 136 zu entfernen. Das isotrope Ätzen des ersten Austrittsarbeitsmetalls 132 zwischen den Nanosheets 118 des zweiten Nanosheet-Stapels 136 kann auch einen Teil des ersten Austrittsarbeitsmetalls 132 entfernen, das durch die OPL 138 maskiert wird, wobei ein Unterschnitt 148 erzeugt wird. Wie in 7 dargestellt ist kann der Unterschnitt 148 akzeptabel sein, da sich der Unterschnitt 148 nicht mit Nanosheets 118 des ersten Nanosheet-Stapels 134 überschneidet. Demzufolge werden Nanosheets 118 des ersten Nanosheet-Stapels 134 weiterhin vollständig von dem ersten Austrittsarbeitsmetall 132 umgeben.
  • 8 zeigt eine Querschnittansicht eines Prozesses zum Entfernen der OPL 138 von dem ersten Nanosheet-Stapel 134. Die OPL 138 kann durch ein Ätzmittel selektiv zu dem ersten Austrittsarbeitsmetall 132 und der High-k-Schicht 130 entfernt werden. An diesem Punkt, wie in 8 dargestellt ist, kann das erste Austrittsarbeitsmetall 132 auf dem ersten Nanosheet-Stapel 134 verbleiben und es ist kein Austrittsarbeitsmetall auf dem zweiten Nanosheet-Stapel 136 vorhanden.
  • Die 9A und 9B zeigen Querschnittansichten eines Prozesses zum Entfernen von Gateschnittisolationsbereichen 128 entlang der entsprechenden Linien Y-Y und Z-Z in 1. Dieser Prozess kann lithografische Strukturierungs- und Ätzprozesse umfassen. Wie in 9A klar dargestellt ist, kann eine zweite OPL 150 über den Nanosheet-Stapeln 104 abgeschieden werden. In einer Ausführungsform kann die zweite OPL 150 bis zu wenigstens der Höhe der Gateschnittisolationsbereiche 128 abgeschieden werden. In einer Ausführungsform kann eine zweite antireflektierende Beschichtungs- und Fotolackschicht auf der zweiten OPL 150 abgeschieden (nicht dargestellt) und unter Verwendung eines lithografischen Strukturierungs- und Ätzprozesses zum Entfernen von Gateschnittisolationsbereichen 128 an Stellen strukturiert werden, an denen das Gate 106 wieder verbunden wird. Durch ein Ätzen von Gateschnittisolationsbereichen 128 selektiv zu einem Oxid können dann Gateschnittisolationsbereiche 128 entfernt werden. In einer Ausführungsform, in der Gateschnittisolationsbereiche 128 ein Nitrid umfassen, kann ein Ätzmittel, das Gateschnittisolationsbereiche 128 entfernt, auch eine Gatehartmaske 124 entfernen, wie in 9B klar dargestellt ist. Wieder mit Bezug auf 9A kann das Entfernen von Gateschnittisolationsbereichen 128 eine Säule 152 aus einem ersten Austrittsarbeitsmetall 132 zwischen dem ersten Nanosheet-Stapel 134 und dem Nanosheet-Stapel 136 übrig lassen. In einer Ausführungsform kann das zweite OPL 150 mit einem Ätzmittel selektiv zu dem ersten Austrittsarbeitsmetall 132 und der High-k-Schicht 130 entfernt werden (nicht dargestellt).
  • Die 10A und 10B zeigen Querschnittansichten eines Prozesses eines Abscheidens eines zweiten Austrittsarbeitsmetalls 154 über dem ersten Austrittsarbeitsmetall 132 und einem zweiten Nanosheet-Stapel 136 entlang der entsprechenden Linien Y-Y und Z-Z. Das zweite Austrittsarbeitsmetall 154 kann über einer freiliegenden High-k-Schicht 130 abgeschieden werden, sodass das zweite Austrittsarbeitsmetall 154 jedes Nanosheet 118 in dem zweiten Nanosheet-Stapel 136 vollständig umgibt. In einer Ausführungsform kann das zweite Austrittsarbeitsmetall 154 jeden Raum zwischen einzelnen Nanosheets 118 des zweiten Nanosheet-Stapels 136 vollständig füllen. Wie in 10A klar dargestellt ist, kann das zweite Austrittsarbeitsmetall 154 auf jeder Seite der Säule 152 des ersten Austrittsarbeitsmetalls 132 abgeschieden werden. In den 3A-3C wurden Gates 106 eingeschnitten, um Gateschnittisolationsbereiche 128 abzuschneiden. Das IC-Design kann jedoch ein Wiederverbinden von wenigstens einigen Gates 106 vorsehen. In einer Ausführungsform kann das Gatemetall 156 über dem zweiten Austrittsarbeitsmetall 154 abgeschieden werden. Das Gatemetall 156 kann Wolfram (W), Ruthenium (Ru), Kobalt (Co), Kupfer (Cu) oder Aluminium (AI) umfassen. Das Gatemetall 156 kann Gates 106 bei ihrer Bildung wiederverbinden. Es kann ein CMP-Prozess gefolgt von einem Gatemetallausnehmungsprozess verwendet werden, um eine obere Oberfläche 158 einzuebnen, bevor eine Dielektrikumskappe 160 über dem Gatemetall 156 abgeschieden wird. In einer Ausführungsform kann die Gatedielektrikumskappe 160 ein Nitrid umfassen. In einer Ausführungsform kann die Gatedielektrikumskappe 160 Siliziumnitrid (SiN), Siliziumborkohlenstoffnitrid (SiBCN), Siliziumoxykohlenstoff (SiCO) oder Siliziumoxykohlenstoffnitrid (SiOCN) umfassen. In einer speziellen Ausführungsform kann die Dielektrikumskappe 160 Siliziumnitrid umfassen.
  • 11 zeigt eine weitere Ausführungsform der vorliegenden Erfindung. 11 zeigt eine Querschnittansicht eines Prozesses eines Abscheidens der High-k-Schicht 130 und des ersten Austrittsarbeitsmetalls 132, ähnlich der Beschreibung oben mit Bezug auf 5. In dieser Ausführungsform tritt jedoch das Abscheiden der High-k-Schicht 130 und des ersten Austrittsarbeitsmetalls 132 nach dem Entfernen des Austauschgates 122 und vor der Bildung der Gateschnittisolationsbereiche 128 auf. In anderen Worten, die ersten Austauschgates 122 und Nanosheet-Stapel 104 werden gebildet, wie oben beschrieben und in den 2A-2C gezeigt wird. Anschließend werden Austauschgates 122 entfernt und die High-k-Schicht 130 und das erste Austrittsarbeitsmetall 132 werden ähnlich zu der obigen Beschreibung abgeschieden.
  • 12 zeigt einen Prozess der Ausführungsform beginnend mit 11, umfassend ein Bilden von Gateschnittisolationsbereichen 128. Hier kann die OPL 138 über den Nanosheet-Stapeln 104 abgeschieden werden. In dieser Ausführungsform kann eine Öffnung 162 (als gefüllt dargestellt) zwischen jedem der Nanosheet-Stapel 104 gebildet werden. Die Öffnung 162 kann durch Maskieren (nicht dargestellt) der OPL 138 und unter Verwendung eines anisotropen Ätzens gebildet werden, um einen Abschnitt der OPL 138 zu entfernen. In einer Ausführungsform umfasst das Bilden der Öffnung 162 ein Ätzen durch das erste Austrittsarbeitsmetall 132 und die High-k-Schicht 130 zum Substrat 112, wobei das erste Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 über dem zweiten Nanosheet-Stapel 136 getrennt wird. In einer Ausführungsform umfasst das Bilden der Öffnung 162 ein Ätzen durch das erste Austrittsarbeitsmetall 132, um die High-k-Schicht 130 freizulegen. Gemäß der Darstellung können Gateschnittisolationsbereiche 128 in der Öffnung 162 abgeschieden werden. Der Isolationsbereich 128 kann einen Querschnitt eines Abschnitts des ersten Austrittsarbeitsmetalls 132 bedecken, das sich zwischen den Nanosheet-Stapeln 104 befinden kann und mit dem ersten Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 verbunden sein kann. In einer Ausführungsform kann auf das Abscheiden der Gateschnittisolationsbereiche 128 ein Zurückätzprozess folgen, um die OPL 138 freizulegen.
  • 13 zeigt einen Prozess der Ausführungsform beginnend mit 11, umfassend ein Entfernen des ersten Austrittsarbeitsmetalls 132 von dem zweiten Nanosheet-Stapel 136. Dieser Prozess kann dem Maskieren des ersten Nanosheet-Stapels 134 und Freilegen des zweiten Nanosheet-Stapels 136 teilweise ähnlich sein, wie oben mit Bezug auf 6 beschrieben ist und nicht wieder dargestellt oder hier wiederholt wird. 13 zeigt das Ergebnis eines solchen Prozesses, sowie das Entfernen des ersten Austrittsarbeitsmetalls 132 aus dem zweiten Nanosheet-Stapel 136. Ähnlich zu der Beschreibung oben mit Bezug auf 7 kann ein isotropes Ätzen verwendet werden, um das erste Austrittsarbeitsmetall 132 aus dem zweiten Nanosheet-Stapel 136 zu entfernen. In dieser Ausführungsform erzeugt jedoch das isotrope Ätzen keinen Unterschnitt (z. B. den Unterschnitt 148, der in 7 dargestellt ist). Dies liegt daran, dass Gateschnittisolationsbereiche 128 in dieser Ausführungsform das erste Austrittsarbeitsmetall 132 auf dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 auf dem zweiten Nanosheet-Stapel 136 trennen (in 12 klar dargestellt).
  • 14 zeigt einen Prozess der Ausführungsform beginnend mit 11, umfassend ein Entfernen der Gateschnittisolationsbereiche 128. Dieser Prozess kann einem Entfernen der Gateschnittisolationsbereiche 128 im Wesentlichen ähnlich sein, wie oben mit Bezug auf 9A beschrieben ist und hier nicht wiederholt wird. 9A und 14 können sich darin unterscheiden, dass in dieser alternativen Ausführungsform, die in 14 dargestellt ist, nach dem Entfernen des Gateschnittisolationsbereichs keine Säule 152 aus dem ersten Austrittsarbeitsmetall 132 verbleibt. (die Säule 152, die in 9A klar dargestellt ist). Die Säule 152 kann in dieser Ausführungsform fehlen, da Gateschnittisolationsbereiche 128 abgeschieden werden können, nachdem das erste Austrittsarbeitsmetall 132 und kein erstes Austrittsarbeitsmetall 132 auf Gateschnittisolationsbereichen 128 gebildet wurde (in 12 dargestellt).
  • 15 zeigt einen Prozess der Ausführungsform beginnend mit 11, umfassend ein Abscheiden des zweiten Austrittsarbeitsmetalls 154 und des Gatemetalls 156. Dieser Prozess kann dem Prozess des Abscheidens des zweiten Austrittsarbeitsmetalls 154 im Wesentlichen ähnlich sein, wie oben mit Bezug auf 10A beschrieben ist und hier nicht wiederholt wird. Ein Unterschied zwischen 10A und 15 kann darin liegen, dass die Säule 152 in 15 nicht verbleibt. Als solche kann das zweite Austrittsarbeitsmetall 154 auf dem ersten Austrittsarbeitsmetall 132 abgeschieden werden, welches den ersten Nanosheet-Stapel 134 bedeckt, es kann auf der High-k-Schicht 130 abgeschieden werden, die Nanosheets 118 des zweiten Nanosheet-Stapels 136 bedecken kann, und nicht auf der Säule 152 (Säule 152, die in 10A klar gestellt ist).
  • 16 zeigt eine weitere Ausführungsform der vorliegenden Erfindung. 16 zeigt eine Querschnittansicht eines Prozesses ähnlich 11, wie oben beschrieben ist. In dieser Ausführungsform werden der erste Nanosheet-Stapel 134 und der zweite Nanosheet-Stapel 136 auf dem Substrat 112 ähnlich zu der obigen Beschreibung gebildet. Das erste Austrittsarbeitsmetall 132 kann auf dem Nanosheet-Stapel 104 abgeschieden werden, wie oben mit Bezug auf 5 beschrieben ist. In dieser Ausführungsform tritt die Abscheidung der High-k-Schicht 130 und des ersten Austrittsarbeitsmetalls auf, ohne dass Gateschnittisolationsbereiche 128 gebildet werden. Gemäß der Darstellung in 16 kann eine Maske über dem ersten Nanosheet-Stapel 134 und einem Abschnitt des ersten Austrittsarbeitsmetalls 132 zwischen dem ersten Nanosheet-Stapel 134 und dem zweiten Nanosheet-Stapel 136 gebildet werden. Ähnlich zu der obigen Beschreibung der 12 und 13 kann eine OPL-Schicht 138 und eine optionale antireflektierende Beschichtung 146 über dem ersten Nanosheet-Stapel 134 und dem zweiten Nanosheet-Stapel 136 (nicht dargestellt) abgeschieden und geätzt werden, sodass der erste Nanosheet-Stapel 134 maskiert und der zweite Nanosheet-Stapel 136 freigelegt wird, wie in 16 dargestellt ist.
  • 17 zeigt einen Prozess der Ausführungsform beginnend mit 16, umfassend ein gerichtetes Ätzen des freiliegenden Abschnitts des ersten Austrittsarbeitsmetalls 132. In einer Ausführungsform kann der freiliegende Abschnitt des ersten Austrittsarbeitsmetalls 132 unter Verwendung eines anisotropen oder trockenen Ätzprozesses geätzt werden, wie z. B. ein reaktiver lonenätz (RIE)-Prozess oder ein anderer bekannter oder später entwickelter anisotroper Trockenätzprozess. Wie in 17 dargestellt ist, kann der freiliegende Bereich des ersten Austrittsarbeitsmetalls 132 bezüglich der High-k-Schicht 130 selektiv geätzt werden, wobei die High-k-Schicht 130 freiliegt. Der anisotrope Ätzprozess kann das erste Austrittsarbeitsmetall 132, das über dem ersten Nanosheet-Stapel 134 gebildet ist, von dem ersten Austrittsarbeitsmetall 132 trennen, das über dem zweiten Nanosheet-Stapel 136 gebildet ist. Die Öffnung 162, die in der oben hinsichtlich der 12 und 13 beschriebenen Ausführungsform dargestellt ist, ist nicht unbedingt in der Ausführungsform vorgesehen, die in den 16-23 dargestellt ist.
  • 18 zeigt einen Prozess der Ausführungsform beginnend mit 16, umfassend ein Bilden des Isolationsbereichs 168. Gemäß der Darstellung in 18 kann der Isolationsbereich 168 in dieser Ausführungsform eine konforme dielektrische Schicht darstellen, die über dem Nanosheet-Stapel 104 gebildet ist. In einer Ausführungsform kann der Isolationsbereich 168 ein dielektrisches Material umfassen, wie z. B. Siliziumnitrid. In einer Ausführungsform kann der Isolationsbereich 168 durch eine chemische Gasphasenabscheidung (CVD), eine chemische Gasphasenabscheidung mit hochdichtem Plasma (HDP-CVD) oder einen Prozess mit hohem Aspektverhältnis (HARP) gebildet werden. In einer Ausführungsform kann der Isolationsbereich 168 abgeschieden werden, sodass der Isolationsbereich 168 das erste Austrittsarbeitsmetall 132 auf dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 auf dem zweiten Nanosheet-Stapel 136 trennt. In dieser Ausführungsform kann der Isolationsbereich 168 bis zu einer Höhe über einem freiliegenden Querschnitt des ersten Austrittsarbeitsmetalls 132 unterhalb der OPL 138 gebildet werden. Der Isolationsbereich 168 kann dann mit der OPL 138 bedeckt werden. Dies ist in 19 dargestellt.
  • 20 zeigt einen Prozess der Ausführungsform beginnend mit 16, umfassend ein Entfernen eines Abschnitts der OPL 138. In einer Ausführungsform kann die OPL 138 selektiv zu dem Isolationsbereich 168 geätzt und gesteuert werden, sodass die OPL 138 zwischen den Nanosheet-Stapeln 104 nicht vollständig entfernt wird. In einer Ausführungsform kann ein Bereich der OPL 138 zwischen den Nanosheet-Stapeln 104 über einer Tiefe des ersten Austrittsarbeitsmetalls 132 verbleiben, das sich zwischen den Nanosheet-Stapeln 104 erstreckt. In einer Ausführungsform kann das kontrollierte Entfernen der OPL 138 ein zeitgesteuertes Ätzen umfassen.
  • 21 zeigt einen Prozess der Ausführungsform beginnend mit 16, umfassend ein Entfernen eines Abschnitts des Isolationsbereichs 168. Der Isolationsbereich 168 kann durch ein zu der OPL 138 und der High-k-Schicht 130 selektives Ätzen entfernt werden. In einer Ausführungsform kann der Isolationsbereich 168 zwischen den Nanosheet-Stapeln 104 bis zu einer Tiefe des verbleibenden Abschnitts der OPL 138 auf dem Isolationsbereich 168 zwischen den Nanosheet-Stapeln 104 entfernt werden. Das Ätzen kann zu einem U-förmigen Abschnitt des Isolationsbereichs 168 führen, der darstellungsgemäß das erste Austrittsarbeitsmetall 132 auf dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 auf dem zweiten Nanosheet-Stapel 136 trennt.
  • 22 zeigt einen Prozess der Ausführungsform beginnend mit 16, umfassend ein Entfernen des ersten Austrittsarbeitsmetalls 132 von dem zweiten Nanosheet-Stapel 136. In einer Ausführungsform kann das erste Austrittsarbeitsmetall 132 mit einem bezüglich dem Isolationsbereich 168 und der OPL 138 selektiven Ätzen entfernt werden. Als ein Ergebnis kann das erste Austrittsarbeitsmetall 132 zwischen den Nanosheets 118 des zweiten Nanosheet-Stapels 136 entfernt werden. Als ein Ergebnis kann die High-k-Schicht 130 auf Nanosheets 118 des zweiten Nanosheet-Stapels 136 freigelegt werden. Ähnlich zu der Beschreibung oben hinsichtlich 7 kann ein isotropes Nassätzen verwendet werden, um das erste Austrittsarbeitsmetall 132 von dem zweiten Nanosheet-Stapel 136 zu entfernen. In dieser Ausführungsform erzeugt das isotrope Ätzen jedoch keinen Unterschnitt, da der Isolationsbereich 168 in dieser Ausführungsform das erste Austrittsarbeitsmetall 132 auf dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 auf dem zweiten Nanosheet-Stapel 136 trennt. Als ein Ergebnis kann das Austrittsarbeitsmetall 132 auf dem ersten Nanosheet-Stapel 134 durch das Ätzen während der Entfernung des ersten Austrittsarbeitsmetalls 132 auf dem zweiten Nanosheet-Stapel 136 nicht freigelegt werden.
  • 23 zeigt einen Prozess der Ausführungsform beginnend mit 16, umfassend ein Entfernen der OPL 138 und des Isolationsbereichs 168 (nicht separat dargestellt). In einer Ausführungsform kann die OPL 138 mit einem zu dem Isolationsbereich 168, dem Austrittsarbeitsmetall 132 und der High-k-Schicht 130 selektiven Ätzen entfernt werden. Nach dem Entfernen der OPL 138 kann der Isolationsbereich 168 durch ein Ätzen selektiv zu dem Austrittsarbeitsmetall 130 und der High-k-Schicht 130 entfernt werden. In einer Ausführungsform können die OPL 138 und der Isolationsbereich 168 durch ein einzelnes, zu dem ersten Austrittsarbeitsmetall 132 und der High-k-Schicht 130 selektiven, Ätzen entfernt werden. Nach dem Entfernen des OPL 138 und dem Isolationsbereich 168 in dieser Ausführungsform, wie in 23 dargestellt ist, kann der Prozess gemäß der Beschreibung oben hinsichtlich 15 fortgesetzt werden. Der Prozess des Abscheidens des zweiten Austrittsarbeitsmetalls 154 in dieser Ausführungsform kann im Wesentlichen der gleiche Prozess sein, wie oben ausführlich mit Bezug auf die 10A und 15 beschrieben ist und was hierbei nicht wiederholt wird. Ähnlich zu der obigen Beschreibung hinsichtlich der Ausführungsform beginnend mit 11 kann jedoch die Säule 152, die in 10A dargestellt ist, in dieser Ausführungsform nicht vorhanden sein.
  • 24 zeigt eine weitere Ausführungsform der vorliegenden Erfindung. 24 zeigt eine Querschnittansicht eines Prozesses ähnlich zu 12. Gemäß der Darstellung in 24 zeigt eine Querschnittansicht eines Prozesses ähnlich zu 12. Gemäß der Darstellung in 24 kann die OPL 138 über den Nanosheet-Stapeln 104 nach einem Abscheiden des ersten Austrittsarbeitsmetalls 132 abgeschieden werden, wie hinsichtlich 11 oben beschrieben ist. In dieser Ausführungsform kann die Öffnung 162 zwischen jedem der Nanosheet-Stapel 104 gebildet werden. Die Öffnung 162 kann durch ein Maskieren (nicht dargestellt) der OPL 138 und unter Verwendung eines anisotropen Ätzens zum Entfernen eines Abschnitts des OPL 138 zum Freilegen des ersten Austrittsarbeitsmetalls 132 gebildet werden. In dieser Ausführungsform kann das Bilden der Öffnung 162 ein Ätzen durch das erste Austrittsarbeitsmetall 132 und die High-k-Schicht 130 zum Freilegen des Substrats 112 umfassen, wobei das erste Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 über dem zweiten Nanosheet-Stapel 136 getrennt ist. In einer Ausführungsform umfasst das Bilden der Öffnung 162 ein Ätzen durch das erste Austrittsarbeitsmetall 132 zum Freilegen der High-k-Schicht 130.
  • 25 zeigt einen Prozess der Ausführungsform beginnend mit 24. 25 zeigt einen Prozess zum Entfernen der OPL 138, der antireflektierenden Beschichtung 146 und des Fotolackmaterials 144. Diese Schichten können durch ein Ätzen selektiv zu dem ersten Austrittsarbeitsmetall 132 und dem Substrat 112 entfernt werden. Gemäß der Darstellung kann die OPL 138 von dem ersten Nanosheet-Stapel 134 und dem zweiten Nanosheet-Stapel 136 entfernt werden, wobei das erste Austrittsarbeitsmetall 132 freigelegt wird.
  • 26 zeigt einen Prozess der Ausführungsform beginnend mit 24. 26 zeigt das Ergebnis eines Prozesses des Bildens der zweiten OPL 150, die das erste Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 und der Öffnung 162 bedeckt. Der Abschnitt der OPL 150, die in der Öffnung 162 gebildet ist, kann direkt auf dem Substrat 112 gebildet werden, wie dargestellt ist. Die zweite OPL 150 kann über den Nanosheet-Stapeln 104 ähnlich der OPL 138 gebildet werden, die oben beschrieben ist. Eine Maske 142 kann gebildet und strukturiert werden, um einen Abschnitt der OPL 150 über dem zweiten Nanosheet-Stapel 136 freizulegen (das Ergebnis ist dargestellt). Die OPL 150 kann dann von dem zweiten Nanosheet-Stapel 136 entfernt werden, wobei das erste Austrittsarbeitsmetall 132 auf dem zweiten Nanosheet-Stapel 136 freigelegt wird (nicht dargestellt). Die OPL 150 kann über dem ersten Nanosheet-Stapel 134, der Öffnung 162 und jedem ersten Austrittsarbeitsmetall 132 verbleiben, das mit dem ersten Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 verbunden ist. Die verbleibende OPL 150 kann ein Isolationsbereich 178 sein, der das erste Austrittsarbeitsmetall 132 über dem ersten Nanosheet-Stapel 134 von dem ersten Austrittsarbeitsmetall 132 über dem zweiten Nanosheet-Stapel 136 trennt. Gemäß der Darstellung in 26 kann das erste Austrittsarbeitsmetall 132 von dem zweiten Nanosheet-Stapel 136 durch ein isotropes Ätzen entfernt werden. Gemäß der Beschreibung oben kann ein isotropes Ätzen erforderlich sein, um das erste Austrittsarbeitsmetall 132 zwischen den Nanosheets 118 des zweiten Nanosheet-Stapels 136 zu entfernen. Der Isolationsbereich 178 kann ein Entfernen des ersten Austrittsarbeitsmetalls 132 über dem ersten Nanosheet-Stapel 134 während des isotropen Ätzens verhindern.
  • 27 zeigt einen Prozess der Ausführungsform beginnend mit 24. 27 zeigt einen Prozess eines Entfernens des Isolationsbereichs 178. In dieser Ausführungsform kann der Isolationsbereich 178 durch ein Ätzen selektiv zu dem ersten Austrittsarbeitsmetall 132 ähnlich dem Entfernen der OPL 138 gemäß der obigen Beschreibung entfernt werden. Gemäß der Darstellung in 27 kann das erste Austrittsarbeitsmetall 132 nach der Entfernung des Isolationsbereichs 178 die Nanosheets 118 des ersten Nanosheet-Stapels 134 vollständig umgeben. Ein Austrittsarbeitsmetall ist über dem zweiten Nanosheet-Stapel 136 nicht unbedingt vorhanden. Nach dem Prozess, der in 27 dargestellt ist, kann das zweite Austrittsarbeitsmetall 154 auf die Nanosheet-Stapel 104 gemäß der obigen Beschreibung angewendet werden, wie in 10A und 15 dargestellt ist. Der in dieser Ausführungsform beschriebene Prozess kann gemäß der obigen Beschreibung zu einer Struktur ähnlich 15 führen.
  • In den Ausführungsformen, die in 10A und 15 dargestellt sind, kann das erste Austrittsarbeitsmetall 132 ein gegenüber dem zweiten Austrittsarbeitsmetall 154 verschiedenes Metall umfassen. In einer Ausführungsform können die ersten Nanosheet-Stapel 134 und das erste Austrittsarbeitsmetall 132 kombiniert werden, um eine PFET-Vorrichtung zu bilden, während der zweite Nanosheet-Stapel 136 und das zweite Austrittsarbeitsmetall kombiniert werden, um eine NFET-Vorrichtung zu bilden. In einer Ausführungsform, in der der erste Nanosheet-Stapel 134 eine PFET-Vorrichtung ist, kann das erste Austrittsarbeitsmetall Titannitrid (TiN) umfassen. In einer Ausführungsform, in der der zweite Nanosheet-Stapel 136 eine NFET-Vorrichtung nahe der PFET-Vorrichtung ist, kann das zweite Austrittsarbeitsmetall Titankohlenstoff (TiC) oder Titanaluminiumkohlenstoff (Ti2AlC) umfassen.
  • Ausführungsformen des vorliegenden Verfahrens stellen wenigstens ein Paar von benachbarten Nanosheet-FET-Vorrichtungen 166 bereit. Mit Bezug auf 10A umfasst das Paar von Nanosheet-FET-Vorrichtungen 166 einen ersten Nanosheet-Stapel 134, der auf einem Substrat 112 und horizontal neben einem zweiten Nanosheet-Stapel 136 angeordnet ist. Jeder Nanosheet-Stapel 104 umfasst eine Mehrzahl von vertikal benachbarten Nanosheets 118, die voneinander um einen Abstand beabstandet sind. Das erste Austrittsarbeitsmetall 132 kann auf dem ersten Nanosheet-Stapel 134 angeordnet sein, sodass das erste Austrittsarbeitsmetall 132 jedes Nanoblatts 118 des ersten Nanosheet-Stapels 134 vollständig umgibt. Das zweite Austrittsarbeitsmetall 154 kann auf dem zweiten Nanosheet-Stapel 136 angeordnet sein, so dass das zweite Austrittsarbeitsmetall 154 jedes Nanosheet 118 des zweiten Nanosheet-Stapels 136 vollständig umgibt. Die Säule 152 des ersten Austrittsarbeitsmetalls 132 kann sich vertikal zwischen dem erste Nanosheet-Stapel 134 und dem zweiten Nanosheet-Stapel 136 erstrecken. Mit weiterem Bezug auf 10A kann in einer Ausführungsform ein Abschnitt des zweiten Austrittsarbeitsmetalls 154 zwischen der Säule 152 und dem ersten Nanosheet-Stapel 134 angeordnet sein. Gemäß der obigen Beschreibung stellt das vorliegende Verfahren ein Paar von benachbarten Nanosheet-FET-Vorrichtungen 166 bereit, die unterschiedliche Austrittsarbeitsmetalle umfassen, ohne dass ein bedeutender Unterschnitt geätzt wird und eine der FET-Vorrichtungen beschädigt wird.
  • Während die vorliegende Erfindung z. B. ein Prozess hinsichtlich Nanosheet-Vorrichtungen beschreibt, wird nahegelegt, dass ein ähnlicher Prozess auf Fin FET-Vorrichtungen angewendet werden kann. Die Ausführungsform wurde ausgewählt und beschrieben, um die Prinzipien der Erfindung und der praktischen Anwendung am besten zu erläutern und anderen als dem Fachmann die offenbarten Prinzipien und die praktische Anwendung nahezubringen und das Verständnis für verschiedene Ausführungsformen mit unterschiedlichen Modifizierungen zu ermöglichen, die für die angeregte Verwendung im Speziellen am besten geeignet sind.

Claims (18)

  1. Verfahren, umfassend: ein Bilden eines ersten und eines zweiten Nanosheet-Stapels (104, 134, 136) auf einem Substrat (112), wobei sich der erste und der zweite Nanosheet-Stapel (104, 134, 136) nebeneinander befinden und jeweils vertikal benachbarte Nanosheets (104) umfassen, die um einen Abstand beabstandet sind; ein Abscheiden eines ersten Metalls (132), sodass ein erster Abschnitt des ersten Metalls (132) jedes der Nanosheets (104) des ersten Nanosheet-Stapels (134) umgibt und ein zweiter Abschnitt des ersten Metalls (132) jedes der Nanosheets des zweiten Nanosheet-Stapels (136) umgibt; ein Bilden eines Isolationsbereichs (128) zwischen dem ersten Nanosheet-Stapel (134) und dem zweiten Nanosheet-Stapel (136); ein Entfernen des zweiten Abschnitts des ersten Metalls (132), der den zweiten Nanosheet-Stapel (136) umgibt, durch einen Ätzprozess, wobei der Isolationsbereich (128) verhindert, dass der Ätzprozess den ersten Abschnitt des ersten Metalls (132) erreicht, und dadurch verhindert wird, dass der erste Abschnitt des ersten Metalls (132) entfernt wird; ein Entfernen des Isolationsbereichs (128); ein Abscheiden eines zweiten Metalls (154), das jedes der Nanosheets des zweiten Nanosheet-Stapels (136) umgibt; und wobei das Bilden des Isolationsbereichs (128) umfasst: Bilden des Isolationsbereichs (128) vor dem Abscheiden des ersten Metalls (132), sodass der erste Abschnitt des ersten Metalls (132) lediglich mit dem zweiten Abschnitt des ersten Metalls (132) durch eine Schicht aus dem ersten Metall (132) verbunden ist, das um den Isolationsbereich (128) herum gebildet wird.
  2. Verfahren nach Anspruch 1, ferner umfassend ein Bilden einer Schicht mit einer großen Dielektrizitätskonstante (High-k) (130) auf dem Substrat und den Nanosheets der ersten und zweiten Nanosheet-Stapel (104, 134, 136) vor dem Abscheiden des ersten Metalls (132).
  3. Verfahren nach Anspruch 2, ferner umfassend: ein Bedecken des ersten Abschnitts des ersten Metalls (132) und eines Abschnitts des ersten Metalls (132) um den Isolationsbereich (128) mit einer weichen Maske (138), bevor der zweite Abschnitt des ersten Metalls (132) entfernt wird, wobei der Ätzprozess lediglich einen Teil des Abschnitts des ersten Metalls (132) zwischen der weichen Maske (138) und dem Isolationsbereich (128) entfernt.
  4. Verfahren nach Anspruch 3, ferner umfassend: vor dem Bilden des Isolationsbereichs (128) ein Bilden eines Dummy-Gates (122), welches sich von dem ersten Nanosheet-Stapel (134) zu dem zweiten Nanosheet-Stapel (136) erstreckt; und ein Entfernen eines Abschnitts des Dummy-Gates (122) an einer ersten Stelle zwischen dem ersten Nanosheet-Stapel (134) und dem zweiten Nanosheet-Stapel (136).
  5. Verfahren nach Anspruch 4, wobei das Bilden des Isolationsbereichs (128) ein Bilden des Isolationsbereichs (128) zwischen dem ersten Nanosheet-Stapel (134) und dem zweiten Nanosheet-Stapel (136) an der ersten Stelle umfasst, an der der Abschnitt des Dummy-Gates (122) entfernt wurde.
  6. Verfahren, umfassend: ein Bilden eines ersten und eines zweiten Nanosheet-Stapels (104, 134, 136) auf einem Substrat (112), wobei sich der erste und der zweite Nanosheet-Stapel (104, 134, 136) nebeneinander befinden und jeweils vertikal benachbarte Nanosheets (104) umfassen, die um einen Abstand beabstandet sind; ein Abscheiden eines ersten Metalls (132), sodass ein erster Abschnitt des ersten Metalls (132) jedes der Nanosheets (104) des ersten Nanosheet-Stapels (134) umgibt und ein zweiter Abschnitt des ersten Metalls (132) jedes der Nanosheets des zweiten Nanosheet-Stapels (136) umgibt; ein Bilden eines Isolationsbereichs (168, 178) zwischen dem ersten Nanosheet-Stapel (134) und dem zweiten Nanosheet-Stapel (136); ein Entfernen des zweiten Abschnitts des ersten Metalls (132), der den zweiten Nanosheet-Stapel (136) umgibt, durch einen Ätzprozess, wobei der Isolationsbereich (168) verhindert, dass der Ätzprozess den ersten Abschnitt des ersten Metalls (132) erreicht, und dadurch verhindert wird, dass der erste Abschnitt des ersten Metalls (132) entfernt wird; ein Entfernen des Isolationsbereichs (168, 178); ein Abscheiden eines zweiten Metalls (154), das jedes der Nanosheets des zweiten Nanosheet-Stapels (136) umgibt; ferner umfassend ein Bilden einer Schicht mit einer großen Dielektrizitätskonstante (130), High-k-Schicht, auf dem Substrat und den Nanosheets der ersten und zweiten Nanosheet-Stapel (104, 134, 136) vor dem Abscheiden des ersten Metalls (132); wobei ein dritter Abschnitt des ersten Metalls (132) während der Abscheidung des ersten Metalls (132) auf der High-k-Schicht (130) abgeschieden wird, wobei der dritte Abschnitt des ersten Metalls (132) die ersten und zweiten Abschnitte des ersten Metalls (132) verbindet; und ferner umfassend: ein Trennen des ersten Abschnitts und des zweiten Abschnitts des ersten Metalls (132) durch ein Ätzen durch den dritten Abschnitt des ersten Metalls (132) zum Freilegen einer unter dem ersten Metall (132) liegenden Schicht, und ein Bilden des Isolationsbereichs (168) zum Bedecken eines Querschnitts des dritten Abschnitts des ersten Metalls (132), der mit dem ersten Abschnitt des ersten Metalls (132) verbunden ist.
  7. Verfahren nach Anspruch 6, wobei das Trennen des ersten Abschnitts und des zweiten Abschnitts aus dem ersten Metall (132) umfasst: ein Bedecken der ersten und zweiten Abschnitte des ersten Metalls (132) mit einer weichen Maske (138); ein Erzeugen einer Öffnung (162) in der weichen Maske (138) zum Freilegen des dritten Abschnitts des ersten Metalls (132); und ein Entfernen des freiliegenden dritten Abschnitts des ersten Metalls (132), um das Substrat (112) freizulegen.
  8. Verfahren nach Anspruch 7, wobei die weiche Maske (138) eine erste weiche Maske (138) ist und wobei das Bilden des Isolationsbereichs (178) ein Entfernen der ersten weichen Maske (138) und ein Bilden einer zweiten weichen Maske (150) umfasst, die den ersten Abschnitt des ersten Metalls (132) und einen Abschnitt des Querschnitts des dritten Abschnitts des ersten Metalls (132) bedeckt, der mit dem ersten Abschnitt des ersten Metalls (132) verbunden ist.
  9. Verfahren nach Anspruch 8, wobei ein Abschnitt der zweiten weichen Maske (150) direkt auf dem Substrat (112) gebildet wird.
  10. Verfahren nach Anspruch 7, wobei das Bilden des Isolationsbereichs (168) ein Füllen der Öffnung (162) direkt auf der Oberseite des Substrats (112) mit einem dielektrischen Material umfasst.
  11. Verfahren nach Anspruch 6, wobei das Trennen des ersten Abschnitts und des zweiten Abschnitts des ersten Metalls (132) durch ein Ätzen durch den dritten Abschnitt des ersten Metalls (132) die High-k-Schicht (130) freilegt.
  12. Verfahren nach Anspruch 11, wobei das Trennen und das Bilden des Isolationsbereichs (168) umfasst: ein Bedecken des ersten Abschnitts des ersten Metalls (132) und eines Abschnitts des dritten Abschnitts des ersten Metalls (132) mit einer weichen Maske (138); ein Entfernen von wenigstens einem Abschnitt des dritten Abschnitts des ersten Metalls (132) nahe der weichen Maske (138), der jedoch nicht durch die weiche Maske (138) bedeckt wird, um die High-k-Schicht (130) freizulegen; und ein Bilden einer dielektrischen Materialschicht auf der freiliegenden High-k-Schicht (130) bis zu einer Höhe über dem freiliegenden Querschnitt des dritten Abschnitts des ersten Metalls (132) unterhalb der weichen Maske (138).
  13. Verfahren nach Anspruch 12, wobei das Bilden des Isolationsbereichs (168) ein Bilden der dielektrischen Materialschicht konform über der freiliegenden High-k-Schicht (130), dem zweiten Nanosheet-Stapel (136) und der weichen Maske (138) umfasst, die den ersten Abschnitt des ersten Metalls (132) bedeckt.
  14. Verfahren nach Anspruch 1 oder 6, wobei das erste Metall (132) ein von dem zweiten Metall (154) verschiedenes Material umfasst.
  15. Verfahren nach Anspruch 1 oder 6, wobei der erste Nanosheet-Stapel (134) eine PFET-Vorrichtung ist und der zweite Nanosheet-Stapel (136) eine NFET-Vorrichtung ist.
  16. Verfahren nach Anspruch 1 oder 6, wobei das erste Metall ein PFET-Austrittsarbeitsmetall (132) ist und das zweite Metall ein NFET-Austrittsarbeitsmetall (154) ist.
  17. Verfahren nach Anspruch 1 oder 6, wobei das Entfernen des zweiten Abschnitts des ersten Metalls (132) ein Entfernen des ersten Metalls (132) zwischen den Nanosheets des zweiten Nanosheet-Stapels (136) durch einen isotropen Ätzprozess umfasst.
  18. Verfahren nach Anspruch 1 oder 6, wobei der Isolationsbereich (128, 168) Siliziumnitrid (SiN) umfasst.
DE102018202897.4A 2017-05-23 2018-02-27 Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen Active DE102018202897B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/602,225 2017-05-23
US15/602,225 US10410933B2 (en) 2017-05-23 2017-05-23 Replacement metal gate patterning for nanosheet devices

Publications (2)

Publication Number Publication Date
DE102018202897A1 DE102018202897A1 (de) 2018-11-29
DE102018202897B4 true DE102018202897B4 (de) 2021-06-02

Family

ID=64109359

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018202897.4A Active DE102018202897B4 (de) 2017-05-23 2018-02-27 Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen

Country Status (4)

Country Link
US (1) US10410933B2 (de)
CN (1) CN108933084B (de)
DE (1) DE102018202897B4 (de)
TW (1) TWI692016B (de)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573521B2 (en) * 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
US10658521B2 (en) * 2018-05-15 2020-05-19 International Business Machines Corporation Enabling residue free gap fill between nanosheets
US10566248B1 (en) * 2018-07-27 2020-02-18 Globalfoundries Inc. Work function metal patterning for N-P spaces between active nanostructures using unitary isolation pillar
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US10615257B2 (en) * 2018-09-07 2020-04-07 International Business Machines Corporation Patterning method for nanosheet transistors
US10734286B1 (en) * 2019-02-07 2020-08-04 International Business Machines Corporation Multiple dielectrics for gate-all-around transistors
US10985279B2 (en) * 2019-03-13 2021-04-20 International Business Machines Corporation Source and drain epitaxy and isolation for gate structures
KR20200113492A (ko) 2019-03-25 2020-10-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10797163B1 (en) 2019-04-29 2020-10-06 International Business Machines Corporation Leakage control for gate-all-around field-effect transistor devices
US11183574B2 (en) * 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
KR20200137256A (ko) 2019-05-29 2020-12-09 삼성전자주식회사 집적 회로 반도체 소자 및 그 제조 방법
US11244871B2 (en) * 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
US11264285B2 (en) * 2019-07-08 2022-03-01 Tokyo Electron Limited Method for forming film stacks with multiple planes of transistors having different transistor architectures
US10950610B2 (en) 2019-07-18 2021-03-16 Globalfoundries U.S. Inc. Asymmetric gate cut isolation for SRAM
US11133221B2 (en) * 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11799019B2 (en) * 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
US11664656B2 (en) 2020-03-18 2023-05-30 Mavagail Technology, LLC ESD protection for integrated circuit devices
US11594614B2 (en) 2020-03-30 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. P-metal gate first gate replacement process for multigate devices
US11387346B2 (en) * 2020-04-24 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate patterning process for multi-gate devices
KR20220077737A (ko) 2020-12-02 2022-06-09 삼성전자주식회사 집적회로 소자
US11502169B2 (en) * 2020-12-21 2022-11-15 International Business Machines Corporation Nanosheet semiconductor devices with n/p boundary structure
US11688786B2 (en) * 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11664422B2 (en) 2021-06-14 2023-05-30 International Business Machines Corporation Nanosheet transistor with ultra low-k spacer and improved patterning robustness
US20220399373A1 (en) * 2021-06-15 2022-12-15 Intel Corporation Integrated circuit structures having cut metal gates with dielectric spacer fill
US20220416039A1 (en) * 2021-06-24 2022-12-29 Intel Corporation Gate-all-around integrated circuit structures having uniform threshold voltages and tight gate endcap tolerances

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160254261A1 (en) * 2015-02-26 2016-09-01 Qualcomm Incorporated Adjacent device isolation

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US20120306026A1 (en) * 2011-05-31 2012-12-06 International Business Machines Corporation Replacement gate electrode with a tungsten diffusion barrier layer
US20140306290A1 (en) 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
WO2015047341A1 (en) 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US9324710B2 (en) 2014-02-24 2016-04-26 International Business Machines Corporation Very planar gate cut post replacement gate process
US9478631B2 (en) * 2014-06-04 2016-10-25 Taiwan Semiconductor Manufacturing Company Limited Vertical-gate-all-around devices and method of fabrication thereof
US9490335B1 (en) * 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US9653480B1 (en) * 2016-09-22 2017-05-16 International Business Machines Corporation Nanosheet capacitor
US10103065B1 (en) * 2017-04-25 2018-10-16 International Business Machines Corporation Gate metal patterning for tight pitch applications
US9997519B1 (en) * 2017-05-03 2018-06-12 International Business Machines Corporation Dual channel structures with multiple threshold voltages

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160254261A1 (en) * 2015-02-26 2016-09-01 Qualcomm Incorporated Adjacent device isolation

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MERTENS, H. [et al.]: Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors with Dual Work Function Metal Gates. In: IEDM, 2016, S. 524-527. *

Also Published As

Publication number Publication date
DE102018202897A1 (de) 2018-11-29
US20180342427A1 (en) 2018-11-29
CN108933084A (zh) 2018-12-04
TW201901761A (zh) 2019-01-01
US10410933B2 (en) 2019-09-10
TWI692016B (zh) 2020-04-21
CN108933084B (zh) 2023-09-12

Similar Documents

Publication Publication Date Title
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102018200041B4 (de) Bildung von Diffusionsunterbrechung nach Bildung von Source/Drain
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102012101875B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE10393687B4 (de) Doppelgatehalbleiterbauelement mit separaten Gates und Verfahren zur Herstellung des Doppelgatehalbleiterbauelements
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE112020000199B4 (de) Herstellungsverfahren für einen Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102019205650A1 (de) Nanosheet-Feldeffekttransistor mit einem zweidimensionalen halbleitenden Material
DE112018005825B4 (de) VFET mit verbesserter Stromansteuerbarkeit und Verfahren zu seiner Herstellung
DE102019116859A1 (de) Verfahren zum herstellen einer halbleitervorrichtung, und eine halbleitervorrichtung
DE102020207521A1 (de) Asymmetrische gate-schnittisolation für sram
DE102013103812B4 (de) Halbleiterbauteil mit Verbindungen über mehrere Ebenen sowie Verfahren zur Ausbildung desselben
DE102019116606B4 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
DE112012000850B4 (de) Verfahren zum Ausbilden eines randlosen Kontakts für Transistoren in einem Ersatzmetall-Gate-Prozess und derartige Halbleiter-Transistorstruktur
DE102015120483A1 (de) Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung
DE102015108837B4 (de) Verfahren zur Herstellung eines FinFET und FinFET-Struktur
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE112020000212B4 (de) Verfahren zur herstellung eines transistorkanals mit vertikal gestapelten nanoschichten, die durch finnenförmige brückenzonen verbunden sind
DE102019218267A1 (de) Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt über einem aktiven Bereich und resultierende Vorrichtung
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823400

Ipc: H01L0021823800

R020 Patent grant now final