DE102019218267A1 - Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt über einem aktiven Bereich und resultierende Vorrichtung - Google Patents

Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt über einem aktiven Bereich und resultierende Vorrichtung Download PDF

Info

Publication number
DE102019218267A1
DE102019218267A1 DE102019218267.4A DE102019218267A DE102019218267A1 DE 102019218267 A1 DE102019218267 A1 DE 102019218267A1 DE 102019218267 A DE102019218267 A DE 102019218267A DE 102019218267 A1 DE102019218267 A1 DE 102019218267A1
Authority
DE
Germany
Prior art keywords
source
drain
air gap
gate
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019218267.4A
Other languages
English (en)
Other versions
DE102019218267B4 (de
Inventor
Ruilong Xie
Julien Frougier
Chanro Park
Kangguo Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019218267A1 publication Critical patent/DE102019218267A1/de
Application granted granted Critical
Publication of DE102019218267B4 publication Critical patent/DE102019218267B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

Vorrichtung mit einem Substrat und mindestens eine über dem Substrat gebildete Finne. Mindestens ein Transistor ist mit der Finne an einem oberen Abschnitt der Finne integriert. Der Transistor umfasst einen aktiven Bereich, der ein Source, ein Drain und einen Kanalbereich zwischen Source und Drain umfasst. Über dem Kanalbereich ist eine Gate-Struktur gebildet und die Gate-Struktur umfasst ein HKMG und einen Luftspaltabstandhalter, die an gegenüberliegenden Seitenwänden des HKMG ausgebildet sind. Jeder der Luftspaltabstandhalter umfasst einen Luftspalt, der entlang eines Grabensilizidbereichs gebildet wird, und der Luftspalt wird unterhalb einer Oberseite des HKMG gebildet. Über dem aktiven Bereich wird ein Gate-Kontakt gebildet.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung bezieht sich auf Luftspaltabstandshalter und Gate-Kontakte, die über einem aktiven Bereich in einem Feldeffekttransistor vom Finnen-Typ (FinFET) ausgebildet sind, und Verfahren zu deren Herstellung.
  • HINTERGRUND
  • Bei der bestehenden Verarbeitung bieten Luftspaltabstandhalter eine Reduzierung der Kapazität gegenüber herkömmlichen Abstandhaltern, was zu einer Leistungssteigerung führt. Durch die Bildung des Gate-Kontaktes über der aktiven Fläche können Vorteile bei der Skalierung nach unten erzielt werden. Obwohl sowohl Luftspaltabstandhalter als auch Gate-Kontakte über aktiven Bereichen wünschenswerte Vorteile für Halbleiterbauelemente aufweisen, da sie sich weiterhin in fortgeschrittene Technologieknoten vertiefen, gelten sie aufgrund von zugrundeliegenden Problemen, d.h. negativen Wechselwirkungen zwischen dem Gate-Kontakt und den Luftspaltabstandhaltern sowie zwischen dem Source/Drain-Kontakt und den Luftspaltabstandhaltern, als unvereinbar.
  • Frühere Luftspalt-Abstandshalter-Schemata bilden eine Opfergate-Kappe und Abstandshalter für die Gate-Seitenwände, gefolgt von der Kontaktbildung zwischen Source und Drain. Die Abstandhalter der Gate-Seitenwände und die Gate-Kappe werden mit einem tiefen reaktiven lonenätzen (RIE) zurückgeätzt, das die Integrität des Grabensilizids (trench silicide, TS) und des High-K-Metal-Gates (HKMG) beeinträchtigen kann. Eine nicht-konforme Nitridabscheidung wird gebildet, um eine Opfergate-Kappe und einen Luftspaltabstandshalter erneut zu bilden. Die Opfergate-Kappe ist jedoch sehr niedrig und kann während der Kontaktbildung zwischen Source und Drain leicht durchbrochen werden, wodurch der Luftspalt geöffnet wird und Probleme mit schleichendem Durchtritt entstehen.
  • Es besteht daher ein Bedarf an einer Vorrichtung mit verbesserten Luftspaltabstandhaltern, die vollständig mit dem Gate-Kontakt über dem aktiven Bereich kompatibel sind, der zugrundeliegende Probleme umgeht, zwischen dem Gate-Kontakt und den Luftspaltabstandhaltern sowie zwischen dem Source/Drain-Kontakt und den Luftspaltabstandhaltern, und einem entsprechenden Verfahren zur Herstellung der Vorrichtung.
  • ZUSAMMENFASSUNG
  • Ein Aspekt der vorliegenden Erfindung ist eine Logik- oder Speicherzelle mit einem Luftspalt, der unterhalb einer Oberseite eines Gate-Metalls ausgebildet ist, um zu verhindern, dass der Gate-Kontakt mit dem Luftspalt in Kontakt kommt, selbst wenn der Gate-Kontakt über einem aktiven Bereich liegt. In ähnlicher Weise ist ein weiterer Aspekt der vorliegenden Erfindung eine Logik- oder Speicherzelle mit einem Luftspalt, der unterhalb einer Oberseite eines Gate-Metalls ausgebildet ist, um zu verhindern, dass der Source/Drain-Kontakt mit dem Luftspalt in Kontakt kommt.
  • Ein weiterer Aspekt der vorliegenden Erfindung ist ein Verfahren zum Bilden eines Luftspalts, der unterhalb einer Oberseite eines Gate-Metalls gebildet wird, um zu verhindern, dass der Gate-Kontakt mit dem Luftspalt in Kontakt kommt, selbst wenn der Gate-Kontakt über einem aktiven Bereich liegt. Ebenso ist ein weiterer Aspekt der vorliegenden Erfindung ein Prozess der Bildung eines Luftspalts unterhalb einer Oberseite eines Gate-Metalls, um zu verhindern, dass der Source/Drain-Kontakt mit dem Luftspalt in Kontakt kommt.
  • Gemäß der vorliegenden Erfindung können einige technische Effekte teilweise durch eine Vorrichtung erreicht werden, die ein Substrat und mindestens eine über dem Substrat gebildete Finne umfasst. Mindestens ein Transistor ist mit der Finne an einem oberen Abschnitt der Finne integriert. Der Transistor umfasst einen aktiven Bereich, der ein Source, ein Drain und einen Kanalbereich zwischen Source und Drain umfasst. Über dem Kanalbereich ist eine Gate-Struktur gebildet und die Gate-Struktur umfasst ein HKMG und Luftspaltabstandhalter, die an gegenüberliegenden Seitenwänden des HKMG gebildet sind. Jeder der Luftspaltabstandhalter umfasst einen Luftspalt, der entlang eines Grabensilizidbereichs (TS-Bereichs) ausgebildet ist, und der Luftspalt wird unterhalb einer Oberseite des HKMG ausgebildet. Über dem aktiven Bereich wird ein Gate-Kontakt gebildet.
  • Ein weiterer Aspekt der vorliegenden Erfindung ist ein Verfahren, das das Bilden von mindestens einer Finne auf einem Halbleitersubstrat umfasst. Es wird mindestens ein aktiver Bereich mit einem Source, einem Drain und einem Kanalbereich zwischen Source und Drain gebildet. Über dem Kanalbereich wird mindestens eine Gate-Struktur gebildet. Die Gate-Struktur umfasst ein HKMG und einen Luftspaltabstandhalter, die an gegenüberliegenden Seitenwänden des HKMG gebildet sind. Jeder der Luftspaltabstandhalter umfasst einen Luftspalt, der entlang eines TS-Bereichs ausgebildet ist. Der Luftspalt bildet sich unterhalb einer Oberseite der Gateelektrode. Über der Gateelektrode wird ein Gate-Kontakt gebildet.
  • Ein weiterer Aspekt der vorliegenden Erfindung ist eine Vorrichtung, die ein Substrat und eine erste Finne und eine zweite Finne umfasst, die über dem Substrat ausgebildet sind. Ein erster Transistor ist mit der ersten Finne an einem oberen Abschnitt der ersten Finne integriert und ein zweiter Transistor ist mit der zweiten Finne an einem oberen Abschnitt der zweiten Finne integriert. Der erste und zweite Transistor umfassen jeweils einen aktiven Bereich mit einem Source, einem Drain und einem Kanalbereich zwischen Source und Drain. Über dem Kanalbereich wird eine Gate-Struktur gebildet. Die Gate-Struktur umfasst ein HKMG und einen Luftspaltabstandhalter, die an gegenüberliegenden Seitenwänden des HKMG ausgebildet sind. Jeder Luftspaltabstandhalter umfasst einen Luftspalt, der entlang eines TS-Bereichs ausgebildet ist, und der Luftspalt wird unterhalb einer Oberseite des HKMG ausgebildet. Über dem aktiven Bereich des ersten Transistors wird ein selbstausgerichteter Gate-Kontakt gebildet und ein selbstausgerichteter Source/Drain-Kontakt wird über Source oder Drain des zweiten Transistors gebildet.
  • Zusätzliche Aspekte und technische Auswirkungen der vorliegenden Erfindung sind für den Fachmann aus der folgenden detaillierten Beschreibung leicht ersichtlich, in der Ausführungsformen der vorliegenden Erfindung lediglich zur Veranschaulichung des besten Modus beschrieben werden, der für die Durchführung der vorliegenden Erfindung in Betracht gezogen wird. Wie sich herausstellen wird, ist die vorliegende Erfindung zu anderen und unterschiedlichen Ausführungsformen in der Lage und ihre einzelnen Details sind in verschiedener offensichtlicher Hinsicht veränderbar, ohne von der vorliegenden Erfindung abzuweichen. Dementsprechend sind die Zeichnungen und Beschreibungen als illustrativ und nicht als beschränkend anzusehen. Die Vorteile der vorliegenden Erfindung können realisiert und erhalten werden, wie in den beigefügten Ansprüchen besonders hervorgehoben wird.
  • Figurenliste
  • Die vorliegende Erfindung wird exemplarisch und nicht beschränkend in den Figuren der beiliegenden Zeichnung veranschaulicht, in der gleichartige Bezugszeichen auf ähnliche Elemente verweisen und in der:
    • 1 eine Draufsicht auf eine Logik- oder Speicherzelle gemäß einer exemplarischen Ausführungsform ist;
    • 2 bis 8 schematisch Querschnittsansichten eines Prozessablaufs zum Bilden einer Logik- oder Speicherzellenvorrichtung mit Luftspaltabstandshaltern in einem FinFET entlang der Schnittlinien A-A' gemäß einer exemplarischen Ausführungsform veranschaulichen;
    • 9 eine weitere Draufsicht auf die Logik- oder Speicherzelle gemäß einer exemplarischen Ausführungsform ist; und
    • 10 und 11 schematisch Querschnittsansichten des Prozessablaufs zur Bildung von selbstausrichtenden Gate-Kontakten und Source/Drain-Kontakten in einem FinFET entlang der Schnittlinien B-B' gemäß einer exemplarischen Ausführungsform darstellen.
  • DETAILLIERTE BESCHREIBUNG
  • In der folgenden Beschreibung sind zu Erklärungszwecken zahlreiche spezifische Details dargelegt, um ein gründliches Verständnis exemplarischer Ausführungsformen zu ermöglichen. Es sollte jedoch ersichtlich sein, dass exemplarische Ausführungsformen ohne diese spezifischen Details oder mit einer gleichwertigen Anordnung praktiziert werden können. In anderen Fällen werden bekannte Strukturen und Vorrichtungen in Blockdiagrammform dargestellt, um unnötige Verschleierungen exemplarischer Ausführungsformen zu vermeiden. Darüber hinaus sind, sofern nicht anders angegeben, alle Zahlen, die Mengen, Verhältnisse und numerische Eigenschaften von Inhaltsstoffen, Reaktionsbedingungen usw. ausdrücken, die in der Spezifikation und den Ansprüchen verwendet werden, so zu verstehen, dass sie in allen Fällen durch den Begriff „ungefähr“ modifiziert sind.
  • Die vorliegende Erfindung befasst sich mit Problemen im Zusammenhang mit der Bildung von Luftspaltabstandshaltern, um unerwünschte Wechselwirkungen zwischen dem Gate-Kontakt und den Luftspaltabstandshaltern sowie zwischen dem Source/Drain-Kontakt und den Luftspaltabstandshaltern zu vermeiden. Die Probleme werden unter anderem durch die Bildung von Luftspaltabstandhaltern entlang eines TS-Bereichs gelöst. Der Luftspalt befindet sich nur auf einer unteren Ebene des Luftspaltabstandshalters, die sich unterhalb der Oberseite des Metallgates befindet.
  • Vorrichtungen gemäß den Ausführungsformen der vorliegenden Erfindung umfassen ein Substrat und mindestens eine Finne, die über dem Substrat ausgebildet ist. Mindestens ein Transistor ist mit der Finne an einem oberen Abschnitt der Finne integriert. Der Transistor umfasst einen aktiven Bereich, der ein Source, ein Drain und ein Kanalbereich zwischen Source und Drain umfasst. Über dem Kanalbereich ist eine Gate-Struktur gebildet und die Gate-Struktur umfasst einen HKMG und Luftspaltabstandhalter, die an gegenüberliegenden Seitenwänden des HKMG ausgebildet sind. Jeder der Luftspaltabstandhalter umfasst einen Luftspalt, der entlang eines TS-Bereichs gebildet ist, und der Luftspalt ist unterhalb einer Oberseite des HKMG gebildet. Über dem aktiven Bereich ist ein Gate-Kontakt gebildet.
  • Noch weitere Aspekte, Merkmale und technische Auswirkungen sind dem Fachmann aus der folgenden detaillierten Beschreibung leicht ersichtlich, wobei bevorzugte Ausführungsformen nur zur Veranschaulichung des als am besten betrachteten Modus gezeigt und beschrieben werden. Die Erfindung ist zu anderen und unterschiedlichen Ausführungsformen fähig und ihre einzelnen Details können in verschiedener offensichtlicher Hinsicht modifiziert sein. Dementsprechend sind die Zeichnungen und die Beschreibung als anschaulich und nicht als beschränkend anzusehen.
  • 1 veranschaulicht schematisch eine Draufsicht auf eine Logik- oder Speicherzelle mit Gates 101 und Finnen 103. Die Schnittlinie A-A' ist entlang einer der Finnen 103 über dem Gate 101 dargestellt. 2 veranschaulicht schematisch eine Querschnittsansicht entlang der Schnittlinie A-A' von 1. Gate-Strukturen 101 einer Logik- oder Speicherzelle können beispielsweise Replacement-Metal-Gate-Strukturen (RMG-Strukturen) sein, bei denen die Gates quer und senkrecht zu zuvor gebildeten Halbleiterfinnen 103 und einem Halbleitersubstrat 105 angeordnet sind. Der RMG-Prozess beginnt mit Dummy-Gate-Strukturen, um die Source- und Drain-Implantation selbstauszurichten, und entfernt dann die Dummy-Gate-Strukturen und ersetzt sie durch das HKMG 101, wie in den 1 bis 11 dargestellt ist.
  • Das Substrat 105 kann jedes Substrat umfassen, das Silizium umfasst, einschließlich, aber nicht beschränkend, Silizium (Si), einkristallines Silizium, polykristallines Silizium, amorphes Silizium, Silizium auf Nichts (silicon-on-nothing, SON), Silizium auf Isolator (silicon-oninsulator, SOI) oder Silizium auf Austauschisolator (silicon-on-replacement-insulator, SRI) oder Siliziumgermaniumsubstrate und dergleichen. Das Substrat 105 kann zusätzlich oder stattdessen verschiedene Isolierungen, Dotierungen und/oder Vorrichtungseigenschaften aufweisen. Das Substrat 105 kann andere geeignete elementare Halbleiter umfassen, wie beispielsweise Germanium (Ge) im Kristall, einen Verbindungshalbleiter, wie Siliziumkarbid (SiC), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs) und/oder Indiumantimonid (InSb) oder Kombinationen davon; einen Legierungshalbleiter, der GaAsP, AllnAs, GaInAs, GaInP oder GalnAsP oder Kombinationen davon umfasst.
  • Gemäß der Darstellung in 2 werden die Finnen 103 auf dem Substrat 105 gebildet, so dass sie sich von dort nach oben erstrecken, und ein Flachgrabenisolationsbereich (STI) (in dieser Querschnittsansicht nicht dargestellt) wird auf dem Substrat 105 zwischen den Finnen 103 gebildet. Jede Dummy-Gate-Struktur ist oberhalb des STI und angrenzend an ein Paar von Finnen 103 in einem jeweiligen Kanalbereich ausgebildet.
  • In 2 wird dann um jede Dummy-Gate-Struktur herum ein Gate-Seitenwand-Abstandhalter 107 gebildet, wobei bekannte Techniken verwendet werden, z.B. ein Abscheiden einer dielektrischen Abstandhaltermaterialschicht konform um jede Dummy-Gate-Struktur herum und ein anschließendes Durchführen eines anisotropen Ätzverfahrens, um das dielektrische Abstandhaltermaterial von horizontalen Oberflächen jeder Dummy-Gate-Struktur und STI zu entfernen. Der verbleibende vertikale Abschnitt der dielektrischen Abstandhaltermaterialschicht auf den Seitenwänden jeder Dummy-Gate-Struktur wird zu Gate-Seitenwandabstandhaltern 107. Gate-Seitenwangenabstandhalter 107 können aus einem Low-K-Material wie Materialien auf Siliziumoxidbasis (SiO2, SiOC, SiOCN, etc.) oder Materialien auf Siliziumnitridbasis (SiN, SiBCN, SiCN, etc.) oder anderen Materialien mit ähnlichen funktionellen Eigenschaften gebildet werden.
  • Epitaktische Source-Drain-Kontakte 109 werden, z.B. durch ein epitaktisches Wachstum an den Kanten der Finnen 103 zwischen den Dummy-Gate-Strukturen gebildet. In freiliegenden Abschnitten der Finnen 103 werden Source-Drain-Bereiche gebildet, die an einen jeweiligen Kanalbereich angrenzen, so dass der Kanalbereich seitlich zwischen den Source-Drain-Aussparungen positioniert ist. Die epitaktischen Source-Drain-Kontakte 109 werden dann beispielsweise mit einem epitaktischen Halbleiterabscheidungsprozess gebildet. In einem Fall können die epitaktischen Source-Drain-Kontakte 109 aus siliziumhaltigem Phosphor (Si:P) in einem n-Typ-FET oder mit Bor (SiGe:B) dotiertem Siliziumgermanium, Ge% [30-50%] in einem p-Typ-FET gebildet werden.
  • Jede Dummy-Gate-Struktur wird selektiv entfernt, um eine Gate-Öffnung bereitzustellen, die einen Kanalbereich freilegt. Ein durch den RMG-Prozess gebildeter Gate-Stapel umfasst typischerweise eine oder mehrere dielektrische Gate-Schichten und eine oder mehrere Metallschichten, die auf die dielektrischen Gate-Schichten aufgebracht sind, um Gate-Öffnungen zu füllen. Die Materialien und Dicken der dielektrischen Schichten und Metallschichten des Gate-Stapels werden nach der Austrittsarbeit und FET-Leitfähigkeitstypen ausgewählt. So umfasst beispielsweise die endgültige Gate-Struktur ein Zwischenschichtoxid und eine dielektrische High-K-Gate-Schicht. Die dielektrische High-K-Gate-Schicht kann aus einem dielektrischen Material mit einer Dielektrizitätskonstante von mehr als 3,9 gebildet werden, z.B. Hafnium(IV)oxid (HfO2), Zirkondioxid (ZrO2) oder jedem anderen Material mit ähnlichen funktionellen Eigenschaften. Die Schichten innerhalb der Gate-Struktur sind in den Zeichnungen zur Vereinfachung nicht dargestellt. Techniken zur selektiven Entfernung von Dummy-Gate-Strukturen und zur Bildung des endgültigen Gate-Stapels und der selbstausgerichteteKontaktkappe (SAC-Kappe) sind in der Technik bekannt und werden in dieser Spezifikation weggelassen, damit sich der Leser auf die wesentlichen Aspekte der offenbarten Methoden konzentrieren kann. Jeder Gate-Struktur-Post-RMG-Prozess umfasst das HKMG 101, eine SAC-Kappe 111 und einen Gate-Seitenwandabstandshalter 107, wie in 2 dargestellt ist. Zu den Materialien für das Gate gehören Metalle, ausgewählt aus Titannitrid (TiN), Tantalnitrid (TaN), Titanaluminium (TiAl), aluminiumdotiertes Titancarbid (TiAIC), Titancarbid (TiC), Wolfram (W) und/oder Kobalt (Co). Zu den Materialien für die SAC-Kappe 111 gehören Siliziumnitrid (SiN) oder andere geeignete Materialien mit ähnlichen Eigenschaften.
  • In 2 wird ein OPL 115 über einem Zwischenschichtdielektrikum (ILD) 113, wie beispielsweise Siliziumdioxid (SiO2), und über der Struktur als strukturierende Schicht aufgebracht. Gemäß einer Ausführungsform der vorliegenden Erfindung kann das OPL 115 ein organisches Polymer einschließlich Kohlenstoff, Wasserstoff und Stickstoff sein. Nicht beschränkende Beispiele für das OPL 115 sind JSR HM8006, JSR HM8014, AZ UM10M2, Shin Etsu ODL 102 oder andere ähnliche handelsübliche Materialien von Anbietern wie JSR, TOK, Sumitomo, Rohm & Haas usw. Das OPL 115 kann z.B. durch Schleuderbeschichtung abgeschieden werden und überschüssiges OPL 115 wird zurück geätzt. Auf dem OPL 115 wird eine Antireflexionsschicht (nicht dargestellt), z.B. aus Titandioxid (TiO2), eine siliziumbasierte Antireflexionsschicht (SiARC), Niedertemperatur-Siliziumoxid (LTO), Siliziumoxynitrid (SiON) oder ein anderes Material mit ähnlichen funktionellen Eigenschaften, sowie ein Photolackstapel (nicht dargestellt) aufgebracht. Nach der strukturierenden Lithographie werden TS-Aussparungen 117 durch RIE oder ähnliche Ätzprozesse im aktiven Bereich der Vorrichtung gebildet. Während der Bildung der TS-Aussparungen 117 kann es während des RIE zu einer signifikanten Gate-Abstandhaltererosion 107a und einer teilweisen Gate-Kappenerosion 111a kommen.
  • Gemäß der Darstellung in 3 wird eine Füllung mit OPL 119 durchgeführt und dann so vertieft, dass die OPL 119 die TS-Aussparung 117 füllt. Ein oberer Abschnitt des OPL 119 liegt unter einem oberen Abschnitt des HKMG 101. In 4 wird eine selektive Abstandshalterentfernung durchgeführt, um Gate-Abstandshalter 107 zwischen den HKMGs 101 und den epitaktischen Source-Drain-Kontakten 109 zu entfernen. Ein wichtiger Vorteil, der mit diesem Schritt erreicht wird, ist die Entfernung des Gate-Abstandshalter 107, da der größte Teil des Gate-Abstandshalters 107 bereits in einem oberen Bereich freigelegt ist und leicht durch eine isotrope Ätzung entfernt werden kann, die bezüglich der Gate-Kappe 111 und dem OPL 119 selektiv ist.
  • Gemäß der Darstellung in 5 werden die Luftspaltabstandshalter 121 durch einen Abscheideschritt und Abstandshalter-RIE gebildet. Der Luftspalt 123 wird unter einer Oberseite des HKMG 101 gebildet, um eine Interaktion mit dem Luftspalt 123 zu verhindern. Luftspaltabstandhalter 121 weisen eine Abstandhalterbreite von 5 bis 15 nm auf und sind aus einem Low-K-Material wie Materialien auf Siliziumoxidbasis (SiO2, SiOC, SiOCN usw.) oder Materialien auf Siliziumnitridbasis (SiN, SiBCN, SiCN, etc.) oder anderen Materialien mit ähnlichen funktionellen Eigenschaften gebildet. Das Abstandhaltermaterial wird unter Verwendung eines konformen Abscheidungsprozesses abgeschieden, wie einer Atomlagenabscheidung (ALD), einer plasmagestützten chemischen Gasphasenabscheidung (PECVD) und einem Abschnürungsmechanismus, um die Einkapselung des Luftspaltes 123 auszulösen. Die Luftspaltabstandhalter sind entlang einem TS-Bereich angeordnet. Der Luftspalt 123 wird zwischen dem HKMG 101 und dem OPL 119 gebildet. Gemäß der Darstellung in 6 wird der OPL 119 durch Ätzen, Veraschen oder andere geeignete Techniken entfernt, um Öffnungen 125 über eptitaktischen Source-Drain-Kontakten 109 zu bilden.
  • In 7 werden TS-Kontakte 127 durch einen Metallisierungsprozess gebildet. In einer Ausführungsform werden die TS-Kontakte 127 durch Abscheiden von Wolfram (W), Kobalt (Co) oder Ruthenium (Ru) gebildet, gefolgt von einem Aussparungsschritt, um überschüssiges Metall zu entfernen. In einem Fall kann vor dem Bilden der TS-Kontakte 127 in den Öffnungen 125 ein TS-Liner (nicht dargestellt) gebildet werden. So kann beispielsweise der TS-Liner passend zu den Materialien der TS-Kontakte 127 ausgewählt werden, z.B. kann der TS-Liner bei TS-Kontakten 127 aus W aus Titan (Ti) gebildet sein. Die Aussparung der TS-Kontakte 127 ist über einer Oberseite des HKMG 101 gebildet. In bestimmten Ausführungsformen kann die Aussparung 10 bis 30 Nanometer über der Oberseite des HKMG 101 liegen.
  • In 8 ist über den TS-Kontakten 127 eine TS-Kappe 129 gebildet. Freiliegende Teile der Luftspaltabstandhalter 121 werden durch einen Ätzschritt entfernt, wie beispielsweise heiße Phosphorsäure für Abstandhaltermaterialien auf Nitridbasis oder Flusssäure für Abstandhaltermaterialien auf Oxidbasis. Anschließend werden über den TS-Kontakten 127 TS-Kappen 129 gebildet. In bestimmten Ausführungsformen können die TS-Kappen aus Siliziumkarbid (SiC) oder anderen geeigneten Materialien mit ähnlichen Eigenschaften gebildet werden. Ein chemisch-mechanisches Polieren (CMP) kann durchgeführt werden, um überschüssige TS-Kappen 129 zu entfernen, die zu der Gate-Kappe 111 im Wesentlichen koplanar sind.
  • 9 veranschaulicht schematisch eine weitere Draufsicht einer Logik- oder Speicherzelle mit Gates 101 und Finnen 103. Die Schnittlinie B-B' ist entlang der Finnen 103 und über die Gates 101 in zwei verschiedenen Bereichen des Substrats 105 dargestellt. Es sind der Gate-Kontakt 131 und der Source/Drain-Kontakt 133 sowie die TS-Kontakte 127 dargestellt.
  • Die 10 und 11 veranschaulichen schematisch Querschnittsansichten entlang der Schnittlinie B-B' von 9. Es wird ein selbstausgerichteter RIE durchgeführt, um eine Öffnung 135 für die Gate-Kontakte 131 und eine Öffnung 137 für den Source/Drain-Kontakt 133 zu bilden. Die Öffnung 135 erstreckt sich durch die ILD 136 nach unten bis zu einer Oberseite des HKMG 101. Die Gate-Kappe 111 wird entfernt und Teile der TS-Kappen 129 werden durch den RIE entfernt. Die Öffnung 135 befindet sich über dem aktiven Bereich, erreicht aber nicht die Luftspalte 123, die sich unterhalb einer Oberseite des HKMG 101 befinden. Dadurch werden elektrische Kurzschlüsse verhindert. Der Prozess der vorliegenden Erfindung ist voll kompatibel mit dem Gate-Kontakt über der aktiven Fläche. Die Öffnung 137 erstreckt sich durch ILD 136 nach unten zu den TS-Kontakten 127 und den Luftspaltabstandshaltern 121, erreicht aber nicht die Luftspalte 123, die sich in der Nähe des Bodens oder der unteren Ebene der Luftspaltabstandshalter 121 befinden. Dadurch werden elektrische Kurzschlüsse verhindert. Gemäß der Darstellung in 11 wird ein Metallisierungsprozess durchgeführt, um ein Metall in den Öffnungen 135 und 137 abzuscheiden, um entsprechend den Gate-Kontakt 131 und den Source/Drain-Kontakt 133 zu bilden. Es kann ein CMP-Schritt durchgeführt werden, um überschüssige Metallisierung bis hinunter zu einer Oberseite der ILD 136 zu entfernen.
  • Die Ausführungsformen der vorliegenden Erfindung können mehrere technische Effekte erzielen, einschließlich der Bereitstellung von verbesserten Luftspaltabstandshaltern, die vollständig mit dem Gate-Kontakt über der aktiven Fläche kompatibel sind und weder für dem Gate-Kontakt zugrundeliegenden Problemen, noch dem Source/Drain-Kontakt zugrundeliegenden Problemen anfällig sind. Ausführungsformen der vorliegenden Erfindung sind in verschiedenen industriellen Anwendungen nützlich, wie z.B. Mikroprozessoren, Smartphones, Mobiltelefone, Mobiltelefone, Set-Top-Boxen, DVD-Recorder und -Player, Automobilnavigation, Drucker und Peripheriegeräte, Netzwerk- und Telekommunikationsgeräte, Spielsysteme und Digitalkameras. Die vorliegende Erfindung genießt daher die industrielle Anwendbarkeit in allen Arten von Halbleitervorrichtungen einschließlich Logik- oder Speicherzellen, insbesondere am 14 nm-Technologieknoten und darüber hinaus.
  • In der vorangegangenen Beschreibung wird die vorliegende Erfindung mit Bezug auf besonders exemplarische Ausführungsformen beschrieben. Es wird jedoch ersichtlich sein, dass verschiedene Änderungen und Ergänzungen vorgenommen werden können, ohne vom breiteren Geist und Umfang der vorliegenden Erfindung, wie in den Ansprüchen dargelegt, abzuweichen. Die Spezifikationen und Zeichnungen sind daher als veranschaulichend und nicht als einschränkend zu betrachten. Es versteht sich, dass die vorliegende Erfindung in der Lage ist, verschiedene andere Kombinationen und Ausführungsformen zu verwenden und dass sie im Rahmen des hierin ausgedrückten erfinderischen Konzepts Änderungen oder Modifikationen vornehmen kann.

Claims (20)

  1. Vorrichtung, umfassend: ein Substrat; mindestens eine Finne, die über dem Substrat gebildet ist; mindestens einen Transistor, der mit der Finne an einem oberen Abschnitt der Finne integriert ist, wobei der Transistor umfasst: einen aktiven Bereich, der ein Source, ein Drain und einen Kanalbereich zwischen Source und Drain umfasst; eine Gate-Struktur über dem Kanalbereich, wobei die Gate-Struktur ein Metallgate mit hoher Dielektrizitätskonstante (HKMG) und Luftspaltabstandshalter umfasst, die an gegenüberliegenden Seitenwänden des HKMG gebildet sind, wobei jeder der Luftspaltabstandhalter einen Luftspalt umfasst, der entlang eines Grabensilizidbereichs (TS-Bereichs) gebildet ist, und der Luftspalt unterhalb einer Oberseite des HKMG gebildet ist; und einen Gate-Kontakt, der über dem aktiven Bereich gebildet ist.
  2. Vorrichtung nach Anspruch 1, wobei der TS-Bereich eine TS-Metallisierung umfasst, die über Source und Drain gebildet ist.
  3. Vorrichtung nach Anspruch 2, ferner umfassend eine TS-Kappe, die über der TS-Metallisierung gebildet ist.
  4. Vorrichtung nach Anspruch 1, wobei die TS-Kappe Siliziumkarbid (SiC) umfasst.
  5. Vorrichtung nach Anspruch 2, ferner umfassend einen Source/Drain-Kontakt, der über der TS-Metallisierung in einem zweiten Bereich des Substrats gebildet ist, wobei der Gate-Kontakt und der Source/Drain-Kontakt selbstausgerichtet sind.
  6. Vorrichtung nach Anspruch 1, wobei Source und Drain epitaktische Source und Drain sind.
  7. Verfahren, umfassend: ein Bilden von mindestens einer Finne auf einem Halbleitersubstrat; ein Bilden von mindestens einem aktiven Bereich mit einem Source, einem Drain und einem Kanalbereich zwischen Source und Drain; ein Bilden von mindestens einer Gate-Struktur über dem Kanalbereich, wobei die Gate-Struktur ein Metallgate mit hoher Dielektrizitätskonstante (HKMG) und Luftspaltabstandhalter umfasst, die an gegenüberliegenden Seitenwänden des HKMG ausgebildet sind, wobei jeder der Luftspaltabstandhalter einen Luftspalt umfasst, der entlang eines Grabensilizidbereichs (TS-Bereichs) gebildet ist, und der Luftspalt unterhalb einer Oberseite der Gateelektrode gebildet ist; und ein Bilden eines Gate-Kontaktes über der Gateelektrode.
  8. Verfahren nach Anspruch 7, wobei der TS-Bereich die TS-Metallisierung über Source und Drain umfasst.
  9. Verfahren nach Anspruch 8, ferner umfassend: ein Bilden einer TS-Kappe über der TS-Metallisierung.
  10. Verfahren nach Anspruch 9, wobei die TS-Kappe Siliziumkarbid (SiC) umfasst.
  11. Verfahren nach Anspruch 9, ferner umfassend: ein Bilden eines selbstausgerichteten Gate-Kontaktes über der Gateelektrode.
  12. Verfahren nach Anspruch 11, ferner umfassend: ein Bilden eines Source/Drain-Kontaktes über der TS-Metallisierung in einem zweiten Bereich des Substrats für eine zweite Gate-Struktur.
  13. Verfahren nach Anspruch 7, wobei Source und Drain epitaktische Source und Drain sind.
  14. Verfahren nach Anspruch 11, wobei die Luftspaltabstandshalter ein Material u mfassen, das ausgewählt ist aus einem Material auf Siliziumoxidbasis oder einem Material auf Siliziumnitridbasis.
  15. Vorrichtung, umfassend: ein Substrat; eine erste Finne und eine zweite Finne, die über dem Substrat gebildet sind; einen ersten Transistor, der mit der ersten Finne an einem oberen Abschnitt der ersten Finne integriert ist, und einen zweiten Transistor, der mit der zweiten Finne an einem oberen Abschnitt der zweiten Finne integriert ist, wobei der erste und der zweite Transistor jeweils umfassen: einen aktiven Bereich, der ein Source, ein Drain und einen Kanalbereich zwischen Source und Drain umfasst; eine Gate-Struktur über dem Kanalbereich, wobei die Gate-Struktur ein Metallgate mit hoher Dielektrizitätskonstante (HKMG) und Luftspaltabstandshalter umfasst, die an gegenüberliegenden Seitenwänden des HKMG ausgebildet sind, wobei jeder der Luftspaltabstandhalter einen Luftspalt umfasst, der entlang eines Grabensilizidbereichs (TS-Bereichs) gebildet ist, und der Luftspalt unterhalb einer Oberseite des HKMG gebildet ist; einen selbstausgerichteten Gate-Kontakt, der über dem aktiven Bereich des ersten Transistors gebildet ist; und einen selbstausgerichteten Source/Drain-Kontakt, der über Source oder Drain des zweiten Transistors gebildet ist.
  16. Vorrichtung nach Anspruch 15, wobei der TS-Bereich eine TS-Metallisierung umfasst, die über Source und Drain gebildet ist.
  17. Vorrichtung nach Anspruch 16, ferner umfassend eine TS-Kappe, die über der TS-Metallisierung ausgebildet ist.
  18. Vorrichtung nach Anspruch 1, wobei die TS-Kappe Siliziumkarbid (SiC) umfasst.
  19. Vorrichtung nach Anspruch 17, ferner umfassend einen Source/Drain-Kontakt, der über der TS-Metallisierung in einem zweiten Bereich des Substrats gebildet ist, wobei der Gate-Kontakt und der Source/Drain-Kontakt selbstausgerichtet sind.
  20. Vorrichtung nach Anspruch 15, wobei: Source und Drain epitaktische Source und Drain sind, und die Luftspaltabstandshalter ein Material umfassen, das ausgewählt ist aus einem Material auf Siliziumoxidbasis oder einem Material auf Siliziumnitridbasis.
DE102019218267.4A 2019-01-02 2019-11-26 Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt Active DE102019218267B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/238,173 2019-01-02
US16/238,173 US10886378B2 (en) 2019-01-02 2019-01-02 Method of forming air-gap spacers and gate contact over active region and the resulting device

Publications (2)

Publication Number Publication Date
DE102019218267A1 true DE102019218267A1 (de) 2020-07-02
DE102019218267B4 DE102019218267B4 (de) 2024-02-01

Family

ID=71079846

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019218267.4A Active DE102019218267B4 (de) 2019-01-02 2019-11-26 Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt

Country Status (3)

Country Link
US (1) US10886378B2 (de)
DE (1) DE102019218267B4 (de)
TW (1) TWI771633B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020119099A1 (de) 2020-06-30 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11145540B2 (en) * 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
US11264270B2 (en) * 2019-10-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Air-replaced spacer for self-aligned contact scheme
US11557510B2 (en) 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
CN112103157B (zh) * 2020-08-25 2021-11-19 中国科学院上海微系统与信息技术研究所 一种平面型空气通道晶体管及其制备方法
US11855218B2 (en) * 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions
US20220359679A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Backside source/drain contacts and methods of forming the same
US11876114B2 (en) 2021-09-07 2024-01-16 International Business Machines Corporation Airgap gate spacer

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915182A (en) 1997-10-17 1999-06-22 Texas Instruments - Acer Incorporated MOSFET with self-aligned silicidation and gate-side air-gap structure
US5869379A (en) 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US6297108B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Method of forming a high voltage MOS transistor on a semiconductor wafer
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
EP1804293A1 (de) 2005-12-30 2007-07-04 STMicroelectronics S.r.l. Herstellungsverfahren für ein elektronisches Festwertspeicherbauelement
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US7741663B2 (en) 2008-10-24 2010-06-22 Globalfoundries Inc. Air gap spacer formation
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8390079B2 (en) 2010-10-28 2013-03-05 International Business Machines Corporation Sealed air gap for semiconductor chip
US8471343B2 (en) 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US20130307032A1 (en) 2012-05-16 2013-11-21 Globalfoundries Inc. Methods of forming conductive contacts for a semiconductor device
US9190486B2 (en) 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
FR3011386B1 (fr) 2013-09-30 2018-04-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor mos a espaceurs d'air
US9305835B2 (en) 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
US9412659B1 (en) 2015-01-29 2016-08-09 Globalfoundries Inc. Semiconductor structure having source/drain gouging immunity
US9589833B1 (en) 2015-09-10 2017-03-07 International Business Machines Corporation Preventing leakage inside air-gap spacer during contact formation
US9368572B1 (en) 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US9735246B1 (en) 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
US9985107B2 (en) * 2016-06-29 2018-05-29 International Business Machines Corporation Method and structure for forming MOSFET with reduced parasitic capacitance
US10008577B2 (en) 2016-08-01 2018-06-26 Globalfoundries Inc. Methods of forming an air-gap spacer on a semiconductor device and the resulting device
US9881926B1 (en) * 2016-10-24 2018-01-30 International Business Machines Corporation Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
US10446653B2 (en) 2016-11-15 2019-10-15 Globalfoundries Inc. Transistor-based semiconductor device with air-gap spacers and gate contact over active area
US10026824B1 (en) 2017-01-18 2018-07-17 Globalfoundries Inc. Air-gap gate sidewall spacer and method
US10283406B2 (en) * 2017-01-23 2019-05-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US10211100B2 (en) 2017-03-27 2019-02-19 Globalfoundries Inc. Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor
US10211092B1 (en) * 2018-01-28 2019-02-19 International Business Machines Corporation Transistor with robust air spacer
US10431495B1 (en) * 2018-07-23 2019-10-01 International Business Machines Corporation Semiconductor device with local connection

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020119099A1 (de) 2020-06-30 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11355637B2 (en) 2020-06-30 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020119099B4 (de) 2020-06-30 2022-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11735667B2 (en) 2020-06-30 2023-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
TWI771633B (zh) 2022-07-21
DE102019218267B4 (de) 2024-02-01
US20200212192A1 (en) 2020-07-02
TW202042399A (zh) 2020-11-16
US10886378B2 (en) 2021-01-05

Similar Documents

Publication Publication Date Title
DE102019218267B4 (de) Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102017110441B3 (de) Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102015113184A1 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102015108837B4 (de) Verfahren zur Herstellung eines FinFET und FinFET-Struktur
DE102015106866A1 (de) Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung
DE102019205807A1 (de) Aktivgate-Kontakte und Verfahren zur Herstellung davon
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102019206975B4 (de) FinFET-Vorrichtung und Verfahren zur Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029780000

Ipc: H01L0021336000

R018 Grant decision by examination section/examining division