DE102015106866A1 - Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur - Google Patents

Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur Download PDF

Info

Publication number
DE102015106866A1
DE102015106866A1 DE102015106866.4A DE102015106866A DE102015106866A1 DE 102015106866 A1 DE102015106866 A1 DE 102015106866A1 DE 102015106866 A DE102015106866 A DE 102015106866A DE 102015106866 A1 DE102015106866 A1 DE 102015106866A1
Authority
DE
Germany
Prior art keywords
fin
finfet
field effect
effect transistor
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102015106866.4A
Other languages
English (en)
Other versions
DE102015106866B4 (de
Inventor
Shiu-Ko Jangjian
Chih-Nan Wu
Chun Che Lin
Chi-Cherng Jeng
Ting-Chun Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015106866A1 publication Critical patent/DE102015106866A1/de
Application granted granted Critical
Publication of DE102015106866B4 publication Critical patent/DE102015106866B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Es werden eine Finnen-Feldeffekttransistoren-Bauelementstruktur und ein Verfahren zum Bilden derselben bereitgestellt. Die FinFET-Bauelementstruktur beinhaltet ein Substrat und eine Finnenstruktur, die sich vom Substrat aus erhebt. Die FinFET-Bauelementstruktur beinhaltet außerdem eine Isolationsstruktur, die auf dem Substrat gebildet ist. Die Finnenstruktur weist einen oberen Abschnitt und einen unteren Abschnitt auf und der untere Abschnitt ist in die Isolationsstruktur eingebettet. Die FinFET-Bauelementstruktur beinhaltet ferner eine Schutzschicht, die auf dem oberen Abschnitt der Finnenstruktur gebildet ist. Zwischen der Schutzschicht und dem oberen Abschnitt der Finnenstruktur liegt eine Grenzfläche und diese Grenzfläche weist eine Rauigkeit im Bereich von etwa 0,1 bis etwa 2,0 nm auf.

Description

  • QUERVERWEIS ZU VERWANDTEN ANMELDUNGEN
  • Die Anmeldung beansprucht den Nutzen der am 12. Januar 2015 eingereichten provisorischen US-Patentanmeldung Nr. 62/102,414 mit dem Titel „PROTECTION LAYER ON FIN OF FIN FIELD EFFECT TRANSIOSTOR (FINFET) DEVICE STRUCTURE”, die in ihrer Gesamtheit durch Nennung in das Vorliegende aufgenommen ist.
  • STAND DER TECHNIK
  • Halbleiter-Bauelemente werden in einer Vielzahl elektronischer Anwendungen verwendet, wie beispielsweise PCs, Mobiltelefone, Digitalkameras und andere elektronische Gerätschaften. Halbleiter-Bauelemente werden typischerweise gefertigt, indem Isolations- oder Dielektrikumschichten, leitenden Schichten und halbleitende Schichten nacheinander auf einem Halbleitersubstrat abgeschieden und die verschiedenen Materialschichten mit Hilfe von Lithografie strukturiert werden, um Schaltungskomponenten und -elemente darauf zu bilden. Viele integrierte Schaltungen werden typischerweise auf einem einzelnen Halbleiter-Wafer hergestellt und einzelne Dies auf dem Wafer werden durch Sägen zwischen den integrierten Schaltungen entlang einer Risslinie vereinzelt. Die einzelnen Dies werden typischerweise separat zum Beispiel in Multichipmodulen oder in anderen Arten von Häusungen gehäust.
  • Da sich die Halbleiterindustrie im Streben nach hoher Bauelementdichte, höherer Leistung und geringeren Kosten zu Prozessknoten im Bereich der Nanometertechnologie fortentwickelt hat, sind sowohl aus den Fertigungs- als auch den Gestaltungsproblemen Herausforderungen erwachsen, die zur Entwicklung von dreidimensionalen Gestaltungen, führten, wie beispielsweise die Finnen-Feldeffekttransistoren (FinFET). FinFETs werden mit einer dünnen vertikalen „Finne” (oder Finnenstruktur) gefertigt, die sich von einem Substrat aus erhebt. Der Kanal des FinFET ist in dieser vertikalen Finne gebildet. Über der Finne ist ein Gate bereitgestellt. Vorteile des FinFET können das Verhindern des Kurzkanaleffekts und ein größerer Stromfluss sein.
  • Obwohl bestehende FinFET-Bauelemente und Verfahren zur Fertigung der FinFET-Bauelemente im Allgemeinen dem zugedachten Zweck gerecht werden, sind sie jedoch nicht in allen Aspekten vollständig zufriedenstellend.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden ausführlichen Beschreibung verständlich, wenn diese zusammen mit den dazugehörigen Figuren betrachtet wird. Es sei angemerkt, dass gemäß der üblichen Praxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale der Klarheit der Beschreibung wegen frei vergrößert oder verkleinert sein.
  • 1A bis 1Q zeigen Querschnittsdarstellungen des Bildens einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • 1G' zeigt eine Querschnittsdarstellung einer Modifikation von 1G gemäß einigen Ausführungsformen der Offenbarung.
  • 2 zeigt eine vergrößerte Darstellung eines Bereiches A von 1I gemäß einigen Ausführungsformen.
  • 3A bis 3F zeigen Querschnittsdarstellungen des Bildens einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • 4A bis 4F zeigen Querschnittsdarstellungen des Bildens einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • 5A bis 5C zeigen Querschnittsdarstellungen einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • 6 zeigt eine Querschnittsdarstellung einer Schutzschicht, die auf den Finnenstrukturen gebildet ist, gemäß einigen Ausführungsformen der Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele für das Umsetzen verschiedener Merkmale des bereitgestellten Erfindungsgegenstandes bereit. Spezielle Beispiele von Komponenten und Anordnungen werden im Weiteren beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele, die nicht einschränkend sein sollen. Zum Beispiel kann in der folgenden Beschreibung das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal Ausführungsformen beinhalten, bei denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann außerdem Ausführungsformen beinhalten, bei denen zwischen dem ersten und dem zweiten Merkmal zusätzliche Merkmale gebildet sind, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Des Weiteren können sich in der vorliegenden Offenbarung bei den verschiedenen Beispielen Bezugszeichen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und bestimmt nicht an sich eine Beziehung zwischen den verschiedenen erläuterten Ausführungsformen und/oder Konfigurationen.
  • Es werden einige Varianten der Ausführungsformen beschrieben. In allen verschiedenen Ansichten und veranschaulichenden Ausführungsformen sind ähnliche Bezugszeichen verwendet worden, um ähnliche Elemente zu bezeichnen. Es versteht sich, dass vor, während oder nach dem Verfahren zusätzliche Vorgänge bereitgestellt werden können und dass bei anderen Ausführungsformen des Verfahrens einige der beschriebenen Vorgänge ersetzt oder gestrichen werden können.
  • Es werden Ausführungsformen zum Bilden einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur bereitgestellt. 1A bis 1O zeigen Querschnittsdarstellungen des Bildens einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur 100 gemäß einigen Ausführungsformen der Offenbarung.
  • Die FinFET-Bauelementstruktur 100 beinhaltet ein Substrat 102. Das Substrat 102 kann aus Silicium oder anderen Halbleitermaterialien bestehen. Alternativ oder zusätzlich dazu kann das Substrat 102 andere elementare Halbleitermaterialien beinhalten, wie beispielsweise Germanium. Bei einigen Ausführungsformen besteht das Substrat 102 aus einem Verbindungshalbleiter, wie beispielsweise Siliciumcarbid, Galliumarsen, Indiumarsenid oder Indiumphosphid. Bei einigen Ausführungsformen besteht das Substrat 102 aus einem Legierungshalbleiter, wie beispielsweise Siliciumgermanium, Siliciumgermaniumcarbid, Galliumarsenphosphid oder Galliumindiumphosphid. Bei einigen Ausführungsformen beinhaltet das Substrat 102 eine epitaktische Schicht. Beispielweise weist das Substrat 102 eine epitaktische Schicht auf, die über einem Bulk-Halbleiter liegt.
  • Danach werden eine Dielektrikumschicht 104 und eine Hartmaskenschicht 106 auf dem Substrat 102 gebildet und auf der Hartmaskenschicht 106 wird eine Fotoresistschicht 108 gebildet. Die Fotoresistschicht 108 wird in einem Strukturierungsprozess strukturiert. Der Strukturierungsprozess beinhaltet einen Fotolithografieprozess und einen Ätzprozess. Der Fotolithografieprozess beinhaltet das Auftragen eines Fotoresists (z. B. durch Rotationsbeschichten), Soft-Bake (Weichbacken), Maskenausrichtung, Belichtung, Post-Exposure-Baking (Post-Belichtungsbacken), Photoresistentwicklung, Spülen und Trocknen (z. B. Trockenschleudern und/oder Hartbacken). Der Ätzprozess beinhaltet einen Trockenätzprozess oder einen Nassätzprozess.
  • Die Dielektrikumschicht 104 ist eine Pufferschicht zwischen dem Substrat 102 und der Hartmaskenschicht 106. Des Weiteren wird die Dielektrikumschicht 104 als eine Stoppschicht beim Entfernen der Hartmaskenschicht 106 verwendet. Die Dielektrikumschicht 104 kann aus Siliciumoxid bestehen. Die Hartmaskenschicht 106 kann aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder einem anderen verwendbaren Material bestehen. Bei einigen weiteren Ausführungsformen wird auf der Dielektrikumschicht 104 mehr als eine Hartmaskenschicht 106 gebildet.
  • Die Dielektrikumschicht 104 und die Hartmaskenschicht 106 werden durch Abscheidungsprozesse gebildet, wie beispielsweise eine chemische Gasphasenabscheidung (CVD), eine mit hochdichtem Plasma unterstützte chemische Gasphasenabscheidung (HDPCVD), ein Rotationsprozess, ein Zerstäubungsprozess oder ein anderer anwendbarer Prozess.
  • Nach dem Strukturieren der Fotoresistschicht 108 werden gemäß einigen Ausführungsformen die Dielektrikumschicht 104 und die Hartmaskenschicht 106 mit Hilfe der strukturierten Fotoresistschicht 108 als Maske strukturiert, wie in 1B gezeigt. Im Ergebnis werden eine strukturierte Dielektrikumschicht 104 und eine strukturierte Hartmaskenschicht 108 erzielt. Danach wird die strukturierte Fotoresistschicht 108 entfernt.
  • Danach wird auf dem Substrat 102 ein Ätzprozess ausgeführt, um mit Hilfe der strukturierten Dielektrikumschicht 104 und der strukturierten Hartmaskenschicht 106 als Maske eine Finnenstruktur 110 zu bilden. Der Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein. Bei einigen Ausführungsformen wird das Substrat 102 durch einen Trockenätzprozess geätzt. Der Trockenätzprozess beinhaltet das Verwenden eines fluorbasierten Ätzgases, wie beispielsweise SF6, CxFy, NF3 oder Kombinationen daraus. Der Ätzprozess kann ein zeitgesteuerter Prozess sein und fortgesetzt werden, bis die Finnenstruktur 110 eine festgelegte Höhe erreicht.
  • Es sei angemerkt, dass die Anzahl der Finnenstrukturen 110 gemäß der tatsächlichen Anwendung justiert werden kann und nicht auf nur eine Finnenstruktur 110 beschränkt ist. Bei einigen weiteren Ausführungsformen weist die Finnenstruktur 110 eine Breite auf, die vom oberen Abschnitt zum unteren Abschnitt allmählich zunimmt.
  • Danach wird gemäß einigen Ausführungsformen auf der Finnenstruktur 110 ein dielektrisches Material 111 gebildet, wie in 1C gezeigt. Bei einigen Ausführungsformen besteht das dielektrische Material 111 aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, mit Fluor dotiertem Silicatglas (FSG) oder einem anderen Low-k-Dielektrikum. Das dielektrische Material 11 kann durch chemische Gasphasenabscheidung (CVD), Spin-On-Glas-Abscheidung oder einen anderen anwendbaren Prozess abgeschieden werden.
  • Danach wird das dielektrische Material 111 gemäß einigen Ausführungsformen dünner gemacht oder planarisiert, um die Oberseite der Hartmaskenschicht 106 freizulegen, wie in 1D gezeigt. Im Ergebnis ist die Oberseite des dielektrischen Materials 11 bündig mit der Oberseite der Hartmaskenschicht 106. Bei einigen Ausführungsformen wird das dielektrische Material 11 durch chemisch-mechanisches Polieren (CMP) dünner gemacht.
  • Nachdem das dielektrische Material 111 dünner gemacht wurde, werden die Hartmaskenschicht 106 und die Dielektrikumschicht 104 gemäß einigen Ausführungsformen entfernt, um eine Vertiefung 107 zu bilden, wie in 1E gezeigt. Die Hartmaskenschicht 106 und die Dielektrikumschicht 104 werden jeweils durch einen Ätzprozess entfernt, wie beispielsweise einen Trockenätzprozess oder einen Nassätzprozess.
  • Nachdem die Vertiefung 107 gebildet wurde, wird in der Vertiefung 107 und auf dem dielektrischen Material 111 gemäß einigen Ausführungsformen eine Opferschicht 114 gebildet, wie in 1F gezeigt. Die Opferschicht 114 wird verwendet, um die Oberseite der Finnenstruktur 110 zu schützen. Die Opferschicht 114 kann eine einzelne Schicht oder mehrere Schichten aufweisen. Die Opferschicht 114 besteht aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder Kombinationen daraus.
  • Nach dem Bilden der Opferschicht 114 wird gemäß einigen Ausführungsformen auf der Oberseite der Finnenstruktur 110 optional ein Ionenimplantationsprozess 12 ausgeführt, wie in 1G gezeigt. Der Ionenimplantationsprozess 12 ist dafür gestaltet, den Kanalbereich mit Dotiermitteln zu dotieren, und der Kanalbereich wird unter einer (später gebildeten) Gate-Struktur gebildet. Im Ergebnis wird in der Finnenstruktur 110 ein dotierter Bereich 115 erzielt, wie in 1G' gezeigt. Bei einigen Ausführungsformen sind die dotierten Bereiche 115 mit einem n-dotierenden Stoff dotiert, wie beispielsweise Arsen (As), Phosphor (P) oder Antimon (Sb). Bei einigen weiteren Ausführungsformen sind die dotierten Bereiche 115 mit einem p-dotierenden Stoff dotiert, wie beispielsweise Bor (B) oder Borfluorid (BF2).
  • Nach dem Bilden des dotierten Bereichs wird gemäß einigen Ausführungsformen die Opferschicht 114 entfernt, wie in 1H gezeigt. Danach wird ein oberer Abschnitt des dielektrischen Materials 111 entfernt, um eine Isolationsstruktur 112 zu bilden. Bei einigen Ausführungsformen wird die Opferschicht 114 durch einen Ätzprozess entfernt. Bei einigen Ausführungsformen wird der obere Abschnitt des dielektrischen Materials 11 durch einen Ätzprozess entfernt. Die verbleibende Isolationsstruktur 112 ist als flache Grabenstruktur (STI-Struktur) zu erkennen. Die Finnenstruktur weist einen oberen Abschnitt 110a und einen unteren Abschnitt 110b auf. Der untere Abschnitt 110b ist in die Isolationsstruktur 112 eingebettet. Der obere Abschnitt 110a liegt frei.
  • Danach wird auf dem oberen Abschnitt 110a der Finnenstruktur 110 gemäß einigen Ausführungsformen oberflächengetreu eine Schutzschicht 116 gebildet, wie in 1I gezeigt. Die Schutzschicht 116 wird durch einen Abscheidungsprozess 14 gebildet. Die Schutzschicht 116 wird verwendet, um die Finnenstruktur 110 vor Schäden in nachfolgenden Prozessen zu schützen. Des Weiteren wird die Schutzschicht 116 verwendet, um die Defekte und/oder ungesättigten Bindungen (dangling bonds) in der Finnenstruktur 110 zu reparieren. Der Begriff „ungesättigte Bindung” bezeichnet eine unterbrochene kovalente Bindung. Die ungesättigten Bindungen sind sehr instabil.
  • Bevor auf der Finnenstruktur 110 die Schutzschicht 116 gebildet wird, kann die Finnenstruktur 110 durch die oben genannten Prozesse beschädigt werden, die ein Trockenätzprozess, ein Nassätzprozess oder ein Polierprozess sein können. Somit kann die Oberfläche der Finnenstruktur 110 einige Defekte und/oder ungesättigte Bindungen aufweisen. Bei einigen Ausführungsformen können die Defekte oder ungesättigten Bindung Elektronen einfangen und deshalb kann die Mobilität der Elektronen verringert sein. Bei einigen Ausführungsformen werden die unerwünschten Elektronen aus den ungesättigten Bindungen freigesetzt und deshalb wird ein unerwünschter Leckstrom erzeugt. Um die Leistung der FinFET-Bauelementstruktur 100 zu verbessern, wird die Schutzschicht 116 gebildet, um die Defekte und/oder ungesättigten Bindungen der Finnenstruktur 110 zu reparieren.
  • Zwischen der Schutzschicht 116 und dem oberen Abschnitt 110a der Finnenstruktur 110 ist eine Grenzfläche gebildet. Es sei angemerkt, dass die Elektronen der FinFET-Bauelementstruktur 100 entlang der Grenzfläche transportiert werden. Wenn die Rauigkeit der Grenzfläche zur groß ist, können die Elektronen von der rauen Oberfläche eingefangen werden. Um die Mobilität der Elektronen zu verbessern, sollte die Rauigkeit der Grenzfläche gering sein. Bei einigen Ausführungsformen weist die Grenzfläche zwischen der Schutzschicht 116 und dem oberen Abschnitt 11a der Finnenstruktur 110 eine Rauigkeit im Bereich von etwa 0,01 bis etwa 2,0 nm auf. Die Rauigkeit wird mit hochauflösender Transmissionselektronenmikroskopie (TEM) gemessen. Ist die Rauigkeit zu groß, können die Elektronen durch die raue Oberfläche eingefangen und somit die Mobilität des Bauelements vermindert werden.
  • Wenn die Rauigkeit im oben genannten Bereich liegt, ist die Mobilität der Elektronen erhöht und die Leistung der FinFET-Bauelementstruktur 100 wird verbessert.
  • Die Schutzschicht 116 besteht aus einer siliciumhaltigen Verbindung, wie beispielsweise Siliciumoxid (SiOx), Siliciumoxynitrid (SiOxNy) oder Siliciumoxycarbid (SiOC). Wie oben angeführt, wird die Schutzschicht 116 durch einen Abscheidungsprozess 14 gebildet. Bei einigen Ausführungsformen wird die Schutzschicht 116 durch einen Mikrowellen-Plasmaprozess, eine thermische Oxidation, eine plasmaverstärkte chemische Gasphasenabscheidung (PECVD) oder durch Atomlagenabscheidung (ALD) gebildet.
  • Bei einigen Ausführungsformen wird der thermische Oxidationsprozess verwendet, um die Schutzschicht 116 zu bilden. Bei einigen Ausführungsformen wird der thermische Oxidationsprozess bei einer Temperatur im Bereich von etwa 700 bis etwa 100 Grad ausgeführt.
  • Bei einigen Ausführungsformen wird die Atomlagenabscheidung (ALD) verwendet, um die Schutzschicht 116 zu bilden. Bei einigen Ausführungsformen wird die ALD bei einer Temperatur im Bereich von etwa 200 bis etwa 900 Grad ausgeführt.
  • Bei einigen Ausführungsformen wird die plasmaverstärkte chemische Gasphasenabscheidung (PECVD) verwendet, um die Schutzschicht 116 zu bilden. Bei einigen Ausführungsformen wird die PECVD bei Temperauren im Bereich von etwa 200 bis etwa 500 Grad ausgeführt. Im Vergleich zur ALD oder zur thermischen Oxidation wird bei der PECVD mit relativ geringen Temperaturen gearbeitet.
  • Bei einigen Ausführungsformen wird der Mikrowellen-Plasmaprozess verwendet, und das Plasma im Mikrowellen-Plasmaprozess weist Materie in einem gasähnlichen Zustand auf, die aus positiv oder negativ geladenen Ionen, freien Elektronen und neutralen Partikeln besteht. Der Mikrowellen-Plasmaprozess wird unter Verwendung von Sauerstoffgas (O2), Wasserstoffgas (H2) oder einem anderen verwendbaren Gas ausgeführt. Das andere verwendbare Gas kann Stickstoffgas (N2), Argongas (Ar), Heliumgas (He), Kryptongas (Kr), Xenongas (Xe) oder eine Kombination daraus sein. Bei einigen weiteren Ausführungsformen wird im Mikrowellen-Plasmaprozess das sauerstoffhaltige Gas, wie beispielsweise Wasserdampf (H2O), Stickstoffmonoxid (NO), Distickstoffmonoxid (N2O) oder eine Kombination daraus verwendet.
  • Bei einigen Ausführungsformen wird der Mikrowellen-Plasmaprozess unter einem Druck im Bereich von etwa 0,1 Torr bis etwa 10 Torr ausgeführt. Wenn der Druck zu hoch ist, ist möglicherweise die Rauigkeit der Grenzfläche zwischen dem oberen Abschnitt 110a der Finnenstruktur 110 und der Schutzschicht zu groß. Wenn der Druck zu niedrig ist, ist die Menge der angeregten Ionen gering. Die angeregten Ionen neigen dazu, statt miteinander mit der Oberfläche der Finnenstruktur 110 zu kollidieren. Deshalb kann der obere Abschnitt 110a der Finnenstruktur 110 geschädigt werden, wenn der Druck zu niedrig ist. Des Weiteren kann auch die Wachstumsgeschwindigkeit der Schutzschicht 116 zu gering sein, wenn der Druck zu niedrig ist.
  • Bei einigen Ausführungsformen wird der Mikrowellen-Plasmaprozess bei einer Temperatur im Bereich von etwa 400 bis 600 Grad ausgeführt. Die Betriebstemperatur ist im Mikrowellen-Plasmaprozess geringer als bei der thermischen Oxidation.
  • Es sei angemerkt, dass während des Ausführens des Mikrowellen-Plasmaprozesses ein Teil der Finnenstruktur 110 verbraucht und oxidiert wird, um die Oxidschicht zu bilden. Insbesondere wird die ursprüngliche Oberfläche der Finnenstruktur 110 durch die angeregten Ionen zerstört und neu aufgebaut. Die unebene Oberfläche der Finnenstruktur 110 wird entfernt und es wächst eine neue Oberfläche (oder Grenzfläche). Des Weiteren werden die ungesättigten Bindungen, die am Ende der Oberfläche der Finnenstruktur vorhanden sind, durch die Zufuhr von Wasserstoffgas (H2) repariert.
  • Wenn eine Oxidschicht durch einen Hochfrequenz-Plasmaprozess gebildet wird, kann ein Oxidmaterial direkt auf die ursprüngliche Oberfläche der Finnenstruktur 110 abgeschieden werden. Im Ergebnis wird die Grenzfläche zwischen der Oxidschicht und der Finnenstruktur 110 möglicherweise nicht repariert und weist noch eine unebene Oberfläche auf.
  • 2 zeigt eine vergrößerte Darstellung eines Bereiches A von 1I gemäß einigen Ausführungsformen. Wie in 2 gezeigt, weist die Schutzschicht 116 eine Dicke T1 auf. Bei einigen Ausführungsformen liegt die Dicke T1 in einem Bereich von etwa 1 bis etwa 10 Ångström (Å). Ist die Dicke zu groß, wird ein Abstand, ein sogenannter Pitch P (in 6 gezeigt) zwischen zwei benachbarten Finnenstrukturen 110 verringert. Somit wird die kritische Abmessung (CD) verkleinert. Wenn die Dicke zu gering ist, reicht die Schutzschicht möglicherweise nicht aus, um die Finnenstruktur 110 vor Beschädigungen in nachfolgenden Prozessen zu schützen.
  • Wie in 2 gezeigt, weist die Finnenstruktur 110 eine Finnenbreite D1 und eine Finnenhöhe H1 auf (in 1I gezeigt). Die Finnenhöhe H1 ist durch einen Abstand von einer unteren Fläche des oberen Abschnitts 110a zu einer Oberseite des oberen Abschnitts 110a definiert. Die untere Fläche liegt im Wesentlichen auf der Höhe der Oberseite der Isolationsstruktur 112. Der obere Abschnitt 110a und der untere Abschnitt 110b werden verwendet, um die Position der Schutzschicht 116 zu definieren und zwischen dem oberen Abschnitt 110a und der unteren Abschnitt 110b ist keine sichtbare Grenzfläche vorhanden. Bei einigen Ausführungsformen liegt die Finnenhöhe H1 in einem Bereich von etwa 20 bis etwa 60 nm. Bei einigen Ausführungsformen liegt die Finnenbreite D1 in einem Bereich von etwa 5 bis etwa 20 nm.
  • Nach dem Bilden der Schutzschicht 116 werden gemäß einigen Ausführungsformen auf der Isolationsstruktur und der Schutzschicht 116 eine Dummy-Gate-Dielektrikumschicht 208 und eine Dummy-Gate-Elektrode 210 gebildet, wie in 1J gezeigt. Bei einigen Ausführungsformen besteht die Dummy-Gate-Dielektrikumschicht 208 aus dielektrischen Materialien, wie beispielsweise Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, dielektrischen Materialien mit hoher dielektrischer Konstante (High-K) oder Kombinationen daraus. Die Dummy-Gate-Dielektrikumschicht 208 wird durch einen Abscheideprozess gebildet, wie beispielsweise chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), mit hochdichtem Plasma unterstützte CVD (HDPCVD), metallorganische CVD (MOCVD) oder plasmaverstärkte CVD (PECVD).
  • Bei einigen Ausführungsformen besteht die Dummy-Gate-Elektrodenschicht 210 aus leitfähigen oder nicht leitfähigen Materialien. Bei einigen Ausführungsformen besteht die Dummy-Gate-Elektrodenschicht 210 aus Polysilicium. Die Dummy-Gate-Elektrodenschicht 210 wird durch einen Abscheideprozess gebildet, wie beispielsweise chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), mit hochdichtem Plasma unterstützte CVD (HDPCVD), metallorganische CVD (MOCVD) oder plasmaverstärkte CVD (PECVD).
  • Nach dem Bilden der Dummy-Gate-Elektrodenschicht 210 wird auf dieser eine Hartmaskenschicht 118 gebildet. Die Hartmaskenschicht 118 wird strukturiert, um eine strukturierte Hartmaskenschicht 118 zu bilden. Die strukturierte Hartmaskenschicht 118 wird zum Schutz der darunterliegenden Schichten vor dem Ätzen während nachfolgender Prozesse verwendet.
  • Nach dem Bilden der strukturierten Hartmaskenschicht 118 wird gemäß einigen Ausführungsformen ein Abschnitt der Dummy-Gate-Dielektrikumschicht 208 und der Dummy-Gate-Elektrodenschicht 210 entfernt, um eine Dummy-Gate-Struktur 220 zu bilden, wie in 1H gezeigt. Die Dummy-Gate-Struktur 220 beinhaltet die Abschnitte der Dummy-Gate-Dielektrikumschicht 208 und der Dummy-Gate-Elektrodenschicht 210, die unter der strukturierten Hartmaskenschicht 118 positioniert sind. Der obere Abschnitt 110a der Finnenstruktur 110 ist durch die Schutzschicht 116 bedeckt. Insbesondere ist die Schutzschicht zwischen dem oberen Abschnitt 110a der Finnenstruktur 110 und der Dummy-Gate-Dielektrikumschicht 208 gebildet.
  • Im mittleren Abschnitt der Finnenstruktur 110 ist die Schutzschicht 116 zwischen der Dummy-Gate-Struktur 220 und der Finnenstruktur 110 gebildet. Die Abschnitte der Dummy-Gate-Dielektrikumschicht 114 und der Dummy-Gate-Elektrodenschicht 116 werden durch einen Ätzprozess entfernt, wie beispielsweise durch einen Nass- oder einen Trockenätzprozess.
  • Nach dem Bilden der Dummy-Gate-Struktur 220 werden gemäß einigen Ausführungsformen auf den gegenüberliegenden Seitenwänden der Dummy-Gate-Struktur 120 Abstandshalter 122 gebildet, wie in 1L gezeigt. Bei einigen Ausführungsformen bestehen die Abstandshalter 122 aus Siliciumnitrid, Siliciumcarbid, Siliciumoxynitrid, Siliciumcarbon, Siliciumoxid, Siliciumwasserstoff, einem anderen verwendbaren Material oder einer Kombination daraus. Bei einigen Ausführungsformen wird über dem Substrat 102 und der Dummy-Gate-Struktur 220 eine Abstandshalter-Materialschicht abgeschieden. Danach wird ein anisotroper Ätzprozess ausgeführt, um die Abstandshalter-Materialschicht teilweise zu entfernen. Im Ergebnis bilden die verbleibenden Abschnitte der Abstandshalter-Materialschicht die Abstandshalter 122.
  • Danach werden gemäß einigen Ausführungsformen auf der Finnenstruktur 110 die Source/Drain-(S/D-)Strukturen 130 gebildet, wie in 1M gezeigt. Bei einigen Ausführungsformen sind die Source/Drain-Strukturen 130 gestreckte Source-/Drain-Strukturen. Bei einigen Ausführungsformen beinhalten die Source/Drain-Strukturen 130 Siliciumgermanium (SiGe), Germanium (Ge), Indiumarsenid (InAs), Indiumgalliumarsenid (InGaAs), Indiumantimonid (InSb), Galliumarsenid (GaAs), Galliumantimonid (GaSb), Indiumaluminiumphosphid (InAlP), Indiumphosphid (InP) oder Kombinationen daraus.
  • Bei einigen Ausführungsformen werden die Source/Drain-Strukturen 130 gebildet, indem auf die Finnenstruktur 110 durch einen Epitaxialprozess ein gestrecktes Material aufgewachsen wird. Des Weiteren kann sich die Gitterkonstante des gestreckten Materials von der des Substrats 102 unterscheiden. Der Epitaxialprozess kann selektives epitaxiales Wachstum (SEG), CVD-Techniken (z. B. Gasphasenepitaxie (VPE) und/oder CVD unter einem ultrahohen Unterdruck (UHV-CVD)), Molekularstrahlepitaxie oder einen anderen geeigneten Epitaxialprozess beinhalten.
  • Es sei angemerkt, dass die Schutzschicht 116 zwischen den S/D-Strukturen 130 und dem oberen Abschnitt 110a der Finnenstruktur 110 gebildet wird. Die Finnenstruktur 110 ist durch die Schutzschicht 116 vor Schäden durch die nachfolgenden Prozesse geschützt. Des Weiteren weist die Grenzfläche, die zwischen dem oberen Abschnitt 110a der Finnenstruktur 110 und der Schutzschicht 116 gebildet ist, eine Rauigkeit von weniger als 2 nm auf. Es sei angemerkt, dass die Elektronen entlang der Grenzfläche transportiert werden. Die Mobilität der Elektronen wird durch die glatte Grenzfläche verbessert. Sobald die Mobilität der Elektronen erhöht ist, ist die Leistung der FinFET-Bauelementstruktur verbessert.
  • Danach wird über der S/D-Struktur 130 über dem Substrat 102 gemäß einigen Ausführungsformen ein Zwischenschichtdielektrikum (ILD) 131 gebildet, wie in 1N gezeigt. Bei einigen Ausführungsformen wird über der Isolationsstruktur 112 und der Dummy-Gate-Struktur 220 ein Zwischenschichtdielektrikum (ILD) 131 gebildet.
  • Das Zwischenschichtdielektrikum (ILD) kann mehrere Schichten beinhalten, die aus mehreren dielektrischen Materialien bestehen, wie beispielsweise Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, Tetraethoxysilan (TEOS), Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), Low-k-Dielektrikum und/oder andere anwendbare dielektrische Materialien. Beispiele für Low-k-Dielektrika sind insbesondere fluoriertes Silicaglas (FSG), mit Kohlenstoff dotiertes Siliciumoxid, amorpher fluorierter Kohlenstoff, Parylen, bis-Benzocyclobutene (BCB) oder Polyimid. Das Zwischenschichtdielektrikum (ILD) kann durch chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), Rotationsbeschichtung oder andere anwendbare Prozesse gebildet werden.
  • Es sei angemerkt, dass ein Abschnitt der Schutzschicht 116 zwischen der ILD-Struktur 132 und der Finnenstruktur 110 gebildet wird. Bei einigen Ausführungsformen wird vor dem Bilden des ILD 131 eine Kontaktätzstoppschicht (CESL) (nicht dargestellt) gebildet.
  • Danach wird gemäß einigen Ausführungsformen am ILD 131 ein Polierprozess ausgeführt, wie in 1O gezeigt. Bei einigen Ausführungsformen wird das ILD 131 durch ein chemisch-mechanisches Polieren (CMP) planarisiert, bis die Oberseite der Dummy-Gate-Struktur 220 freiliegt. Im Ergebnis ist eine ILD-Struktur 132 gebildet.
  • Nach dem Bilden der ILD-Struktur 132 wird gemäß einigen Ausführungsformen die Dummy-Gate-Struktur 220 entfernt, um in der ILD-Struktur 132 einen Graben 133 zu bilden, wie in 1P gezeigt. Die Dummy-Gate-Struktur 220 wird durch Ausführen eines ersten und eines zweiten Ätzprozesses entfernt. Die Dummy-Gate-Elektrodenschicht 208 wird durch den ersten Ätzprozess und die Dummy-Gate-Dielektrikumschicht 210 durch den zweiten Ätzprozess entfernt. Bei einigen Ausführungsformen ist der erste Ätzprozess ein Trockenätzprozess und der zweite Ätzprozess ein Nassätzprozess. Bei einigen Ausführungsformen beinhaltet der Trockenätzprozess das Verwenden eines Ätzgases, wie beispielsweise CF4, Ar, NF3, Cl2, HBr, O2, N2, CH3F, CH4, CH2F2 oder eine Kombination daraus.
  • Es sei angemerkt, dass die Schutzschicht 116 nicht entfernt wird, wenn die Dummy-Gate-Struktur 220 entfernt wird. Die Schutzschicht 116 wird freigelegt, wenn der Graben 133 gebildet wird.
  • Nach dem Bilden des Grabens 133 werden gemäß einigen Ausführungsformen eine Gate-Dielektrikumschicht 140 und eine Gate-Elektrodenschicht 142 in den Graben 133 gefüllt, wie in 1Q gezeigt. Damit wird eine Gate-Struktur 144 erzielt, welche die Gate-Dielektrikumschicht 140 und die Gate-Elektrodenschicht 142 beinhaltet.
  • Bei einigen Ausführungsformen besteht die Gate-Dielektrikumschicht 140 aus einem High-k-Dielektrikum. Das High-k-Dielektrikum kann Hafniumoxid, Zirconiumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid-Legierung, Hafniumsiliciumoxid, Hafniumsiliciumoxynitrid, Hafniumtantaloxid, Hafniumtitanoxid, Hafniumzirconiumoxid oder dergleichen beinhalten.
  • Bei einigen Ausführungsformen besteht die Gate-Dielektrikumschicht 140 aus einem Metallmaterial. Das Metallmaterial kann ein Metall mit negativer Austrittsfunktion oder ein Metall mit positiver Austrittsfunktion beinhalten. Das Metall mit negativer Austrittsfunktion beinhaltet Wolfram (W), Kupfer (Cu), Titan (Ti), Silber (Ag), Aluminium (Al), Titan-Aluminium-Legierung (TiAl), Titanaluminiumnitrid (TiAlN), Tantalcarbid (TaC), Tantalcarbonitrid (TaCN), Tantalsiliciumnitrid (TaSiN), Mangan (Mn), Zirconium (Zr) oder Kombinationen daraus. Das Metall mit positiver Austrittsfunktion beinhaltet Titannitrid (TiN), Wolframnitrid (WN), Tantalnitrid (TaN), Ruthenium (Ru) oder Kombinationen daraus.
  • Wie in 1Q gezeigt, liegt die Gate-Struktur 144 quer über einem mittleren Abschnitt der Finnenstruktur 110. Unter der Gate-Struktur 144 ist ein Kanalbereich gebildet und der Kanalbereich wird von der Gate-Struktur 144 umhüllt. Da die Schutzschicht 116 freiliegt, wenn der Graben 133 gebildet wird, ist die Schutzschicht 116 zwischen der Gate-Dielektrikumschicht 140 und der Finnenstruktur 110 gebildet. Anders ausgedrückt steht die Gate-Dielektrikumschicht 140 mit der Schutzschicht 116 in direktem Kontakt und nicht mit der Finnenstruktur 110.
  • 3A bis 3F zeigen Querschnittsdarstellungen des Bildens einer Finnen-Feldeffekttransistor-(FinFET)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • Wie in 3A gezeigt, werden an den gegenüberliegenden Seitenwänden der Dummy-Gate-Struktur 120 Abstandshalter 122 gebildet. Die Struktur von 3A ist ähnlich der von 1L.
  • Nach dem Bilden der Abstandshalter 122 wird gemäß einigen Ausführungsformen die freiliegende Schutzschicht 116, die auf dem oberen Abschnitt 110a der Finnenstruktur 110 gebildet ist, durch einen Ätzprozess entfernt, wie in 3B gezeigt. Es sei angemerkt, dass auf dem oberen Abschnitt 110a der Finnenstruktur 110 noch ein Abschnitt der Schutzschicht 166, der durch die Dummy-Gate-Struktur 220 bedeckt ist, gebildet ist.
  • Nach dem Entfernen der freiliegenden Schutzschicht 116 werden gemäß einigen Ausführungsformen auf der Finnenstruktur 110 die Source/Drain-(S/D-)Strukturen 130 gebildet, wie in 3C gezeigt.
  • Nach dem Bilden der S/D-Strukturen 130 auf der Finnenstruktur 110, wird über den S/D-Strukturen 130 auf dem Substrat 102 das Zwischenschichtdielektrikum (ILD) (nicht dargestellt) gebildet.
  • Danach wird gemäß einigen Ausführungsformen das ILD planarisiert, bis eine Oberseite der Dummy-Gate-Struktur 220 freiliegt, wie in 3D gezeigt. Somit ist die ILD-Struktur 132 gebildet.
  • Nach dem Bilden der ILD-Struktur 132 wird gemäß einigen Ausführungsformen die Dummy-Gate-Struktur 220 entfernt, um in der ILD-Struktur 132 den Graben 133 zu bilden, wie in 3E gezeigt.
  • Nach dem Entfernen der Dummy-Gate-Struktur 220 werden gemäß einigen Ausführungsformen die Gate-Dielektrikumschicht 140 und die Gate-Elektrodenschicht 142 in den Graben 133 gefüllt, wie in 3F gezeigt. Damit wird die Gate-Struktur 144 erzielt, welche die Gate-Dielektrikumschicht 140 und die Gate-Elektrodenschicht 142 beinhaltet.
  • Im Vergleich zu 1Q ist die Schutzschicht 116, die unter den S/D-Strukturen 130 liegt und in 1O noch erhalten ist, in 3F entfernt. Der Vorteil von 3F besteht darin, das die S/D-Strukturen 130 auf der Finnenstruktur 110 leichter aufwachsen als auf der Schutzschicht 116.
  • Es sei angemerkt, dass die verbleibende Schutzschicht 116 zwischen dem mittleren Abschnitt der Finnenstruktur 110 und der Gate-Dielektrikumschicht 140 gebildet ist. Die Schutzschicht 116 wird verwendet, um die Defekte und/oder ungesättigten Bindungen im oberen Abschnitt 110a der Finnenstruktur 110 zu reparieren. Des Weiteren ist der Kanalabschnitt unter der Gate-Dielektrikumschicht 140 von der Schutzschicht 116 umhüllt.
  • 4A bis 4F zeigen Querschnittsdarstellungen des Bildens einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • Wie in 4A gezeigt, werden auf den gegenüberliegenden Seitenwänden der Dummy-Gate-Struktur 120 Abstandshalter 122 gebildet.
  • Nach dem Bilden der Abstandshalter 122 werden die freiliegende Schutzschicht, die nicht durch die Dummy-Gate-Struktur 220 bedeckt ist, und ein Abschnitt der Finnenstruktur 110 entfernt, um eine Vertiefung 111 zu bilden. Die Vertiefung 111 wird mit Hilfe eines Ätzprozesses gebildet. Die Oberseite der Vertiefung 111 liegt tiefer als die Oberseite der Isolationsstruktur 112. Die Vertiefung 111 weist eine Bodenfläche und Seitenwände auf. Die Bodenfläche der Vertiefung 111 ist die Finnenstruktur 110 und die Seitenwände der Vertiefung 111 sind die Isolationsstruktur 112.
  • Danach werden gemäß einigen Ausführungsformen in der Vertiefung 111 Source/Drain-(S/D-)Strukturen 130 gebildet, wie in 4C gezeigt. Die S/D-Strukturen 130 erstrecken sich von der Vertiefung 111 bis über die Isolationsstruktur 112.
  • Bei einigen Ausführungsformen sind die S/D-Strukturen 130 gestreckte S/D-Strukturen. Bei einigen Ausführungsformen werden die S/D-Strukturen 130 durch Aufwachsen eines gestreckten Materials in Vertiefungen 124 der Finnenstruktur 110 mittels eines epitaktischen Prozesses gebildet. Des Weiteren kann sich die Gitterkonstante des gestreckten Materials von der des Substrats 102 unterscheiden.
  • Bei einigen Ausführungsformen wird nach dem Bilden der S/D-Strukturen 130 eine Kontaktätzstoppschicht (CESL) (nicht dargestellt) gebildet, um die Dummy-Gate-Struktur 220 über dem Substrat 102 abzudecken. Bei einigen Ausführungsformen besteht die Kontaktätzstoppschicht aus Siliciumnitrid, Siliciumoxynitrid und/oder anderen anwendbaren Materialien. Die Kontaktätzstoppschicht kann durch plasmaverstärkte CVD, Niedrigdruck-CVD, ALD oder andere anwendbare Prozesse gebildet werden.
  • Nach dem Bilden der S/D-Strukturen 130 wird gemäß einigen Ausführungsformen über den S/D-Strukturen 130 und der Isolationsstruktur 132 die ILD-Struktur 132 gebildet, wie in 4D gezeigt.
  • Nach dem Bilden der ILD-Struktur 132 wird gemäß einigen Ausführungsformen die Dummy-Gate-Struktur 220 entfernt, um in der ILD-Struktur 132 den Graben 133 zu bilden, wie in 4E gezeigt. Es sei angemerkt, dass die Schutzschicht 166 über dem mittleren Abschnitt der Finnenstruktur 110 erhalten bleibt und freigelegt wird.
  • Nach dem Entfernen der Dummy-Gate-Struktur 220 werden gemäß einigen Ausführungsformen die Gate-Dielektrikumschicht 140 und die Gate-Elektrodenschicht 142 in den Graben 133 gefüllt, wie in 4F gezeigt. Damit wird die Gate-Struktur 144 erzielt, welche die Gate-Dielektrikumschicht 140 und die Gate-Elektrodenschicht 142 beinhaltet.
  • Im Vergleich werden die S/D-Strukturen 130 bei 3F direkt auf dem oberen Abschnitt 110a der Finnenstruktur 110 gebildet, in 4F aber in der Vertiefung 111, die von der Isolationsstruktur 112 und dem unteren Abschnitt 110b der Finnenstruktur 110 gebildet wird.
  • 5A bis 5C zeigen Querschnittsdarstellungen einer Finnen-Feldeffekttransistor-(FinFET-)Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • 5A ist eine Modifizierungsausführungsform von 1Q. Wie in 5A gezeigt, wird die Gate-Struktur 144 von der Gate-Dielektrikumschicht 140 der Austrittsfunktionsschicht 141 und der Gate-Elektrodenschicht 142 gebildet. Die Austrittsfunktionsschicht 141 liegt zwischen der Gate-Dielektrikumschicht 140 und der Gate-Elektrodenschicht 142.
  • Die Schicht aus Metall mit Austrittsfunktion kann darauf eingestellt werden, eine passende Austrittsfunktion aufzuweisen.
  • Wenn zum Beispiel ein Metall mit einer positiven Austrittsfunktion für ein PMOS-Bauelement gewünscht ist, können Materialien mit positiver Austrittsfunktion verwendet werden. Beispiele für Materialien mit positiver Austrittsfunktion sind insbesondere Titannitrid (TiN), Wolframnitrid (WN), Wolfram (W), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), leitfähige Metalloxide und/oder andere anwendbare Materialien.
  • Wenn andererseits für NMOS-Bauelemente ein Metall mit negativer Austrittsfunktion gewünscht ist, können Materialien mit negativer Austrittsfunktion verwendet werden. Beispiele für Materialien mit negativer Austrittsfunktion sind insbesondere Titanaluminid (TiAl), Titanaluminiumnitrid (TiAlN), Carbonitridtantal (TaCN), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Tantal (Ta), Aluminium (Al), Metallcarbide (z. B. Hafniumcarbid (HfC), Zirconiumcarbid (ZrC), Titancarbid (TiC), Aluminiumcarbid (AlC)), Aluminide und/oder andere anwendbare Materialien.
  • 5B ist eine Modifizierungausführungsform von 3F. Wie in 5B gezeigt, liegt die Austrittsfunktionsschicht 141 zwischen der Gate-Dielektrikumschicht 140 und der Gate-Elektrodenschicht 142 und die Schutzschicht 116, die unter der S/D-Struktur 130 liegt, wird entfernt, um das Aufwachsen der S/D-Strukturen 130 zu unterstützen.
  • 5C ist eine Modifizierungsausführungsform von 4F. Wie in 5C gezeigt, ist die Austrittsfunktionsschicht 141 zwischen der Gate-Dielektrikumschicht 140 und der Gate-Elektrodenschicht 142 angeordnet.
  • 6 zeigt eine Querschnittsdarstellung einer Schutzschicht, die auf den Finnenstrukturen gebildet ist, gemäß einigen Ausführungsformen der Offenbarung. Mehrere Finnenstrukturen 110 liegen parallel zueinander.
  • Die Anzahl der Finnenstrukturen 110 ist nicht auf drei beschränkt, sondern kann gemäß der tatsächlichen Anwendung justiert werden. Zwischen zwei benachbarten Finnenstrukturen 110 ist ein Pitch P definiert. Es sei wie oben erwähnt angemerkt, dass, wenn die Dicke der Schutzschicht 116 nicht zu groß ist, der Pitch P zwischen zwei benachbarten Finnenstrukturen 110 verringert ist. Dadurch wird die kritische Abmessung (CD) beeinflusst.
  • Es sind Ausführungsformen zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur bereitgestellt. Auf einem Substrat werden eine Finnenstruktur und eine Isolationsstruktur gebildet. Die Finnenstruktur weist einen oberen und einen unteren Abschnitt auf und der untere Abschnitt der Finnenstruktur ist in die Finnenstruktur eingebettet. Auf dem oberen Abschnitt der Finnenstruktur wird eine oberflächengetreue Schutzschicht gebildet. Die Schutzschicht wird verwendet, um die Finnenstruktur vor Schäden in Fertigungsprozessen zu schützen und die Grenzfläche zwischen der Finnenstruktur und der Schutzschicht zu reparieren. Die Rauigkeit der Grenzfläche wird durch Bilden der Schutzschicht vermindert. Damit wird die Leistung der FinFET-Bauelementstruktur erhöht.
  • Bei einigen Ausführungsformen wird eine Feldeffekttransistoren-(FinFET-)Bauelementstruktur bereitgestellt. Die FinFET-Bauelementstruktur beinhaltet ein Substrat und eine Finnenstruktur, die sich vom Substrat aus erhebt. Die FinFET-Bauelementstruktur beinhaltet außerdem eine Isolationsstruktur, die dem Substrat gebildet ist. Die Finnenstruktur weist einen oberen und einen unteren Abschnitt auf und der untere Abschnitt ist in die Isolationsstruktur eingebettet. Die FinFET-Bauelementstruktur beinhaltet ferner eine Schutzschicht, die auf dem oberen Abschnitt der Finnenstruktur gebildet ist. Zwischen der Finnenstruktur und dem oberen Abschnitt der Finnenstruktur liegt eine Grenzfläche, die eine Rauigkeit im Bereich von etwa 0,1 bis etwa 2,0 nm aufweist.
  • Bei einigen Ausführungsformen wird eine Feldeffekttransistoren-(FinFET-)Bauelementstruktur bereitgestellt. Die FinFET-Bauelementstruktur beinhaltet ein Substrat und eine Finnenstruktur, die auf dem Substrat gebildet ist. Die FinFET-Bauelementstruktur beinhaltet außerdem eine Gate-Struktur, die auf einem mittleren Abschnitt der Finnenstruktur gebildet ist. Die Gate-Struktur umfasst eine High-k-Dielektrikumschicht und eine Metall-Gate-Elektrodenschicht, die auf der High-k-Dielektrikumschicht gebildet ist. Die FinFET-Bauelementstruktur beinhaltet ferner eine Schutzschicht, die zwischen der Finnenstruktur und der High-k-Dielektrikumschicht gebildet ist.
  • Bei einigen Ausführungsformen wird ein Verfahren zum Bilden einer Feldeffekttransistoren-(FinFET-)Bauelementstruktur bereitgestellt. Das Verfahren beinhaltet das Bereitstellen eines Substrats und das Bilden einer Finnenstruktur auf dem Substrat. Das Verfahren beinhaltet außerdem das Bilden einer Isolationsstruktur auf dem Substrat. Die Finnenstruktur weist einen oberen und einen unteren Abschnitt auf, wobei der untere Abschnitt in die Isolationsstruktur eingebettet ist. Das Verfahren beinhaltet das Bilden einer Schutzschicht auf dem oberen Abschnitt der Finnenstruktur. Zwischen der Schutzschicht und dem oberen Abschnitt der Finnenstruktur liegt eine Grenzfläche, die eine Rauigkeit im Bereich von etwa 0,1 bis etwa 2,0 nm aufweist.
  • Das Vorstehende umreißt Merkmale verschiedener Ausführungsformen, so dass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage zum Gestalten oder Modifizieren weiterer Prozesse und Strukturen verwenden können, um die gleichen Zwecke zu erfüllen und/oder die gleichen Vorteile zu erzielen, wie sie die hier vorgestellten Ausführungsformen aufweisen. Fachleute sollten außerdem erkennen, dass derartige äquivalente Konstruktionen nicht vom Geist und Geltungsbereich der vorliegenden Offenbarung abweichen und dass sie verschiedene Veränderungen, Ersetzungen und Abwandlungen vornehmen können, ohne vom Geist und Geltungsbereich der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur, Folgendes umfassend: ein Substrat, eine Finnenstruktur, die sich vom Substrat aus erhebt, eine Isolationsstruktur, die auf dem Substrat gebildet ist, wobei die Finnenstruktur einen oberen Abschnitt und einen unteren Abschnitt aufweist, wobei der untere Abschnitt in die Isolationsstruktur eingebettet ist, und eine Schutzschicht, die auf dem oberen Abschnitt der Finnenstruktur gebildet ist, wobei zwischen der Schutzschicht und dem oberen Abschnitt der Finnenstruktur eine Grenzfläche liegt und diese Grenzfläche eine Rauigkeit im Bereich von etwa 0,1 bis 2,0 nm aufweist.
  2. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 1, wobei die Schutzschicht aus Siliciumoxid, Siliciumoxynitrid, Siliciumoxycarbid (SiOC) oder einer Kombination daraus besteht.
  3. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 1 oder 2, wobei die Schutzschicht eine Dicke im Bereich von etwa 1 bis etwa 10 Ångström (Å) aufweist.
  4. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der vorhergehenden Ansprüche, ferner Folgendes umfassend: eine Gate-Struktur, die auf einem mittleren Abschnitt der Finnenstruktur gebildet ist, wobei die Schutzschicht zwischen der Finnenstruktur und der Gate-Struktur gebildet ist.
  5. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 4, wobei der mittlere Abschnitt der Finnenstruktur ein Kanalbereich ist und der Kanalbereich von der Schutzschicht umhüllt ist.
  6. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der vorhergehenden Ansprüche, ferner Folgendes umfassend: eine Source/Drain-(S/D-)Struktur angrenzend an die Gate-Struktur, wobei die Schutzschicht zwischen der S/D-Struktur und der Finnenstruktur gebildet ist.
  7. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 6, wobei die S/D-Struktur Siliciumgermanium (SiGe), Germanium (Ge), Indiumarsenid (InAs), Indiumgalliumarsenid (InGaAs), Indiumantimonid (InSb), Galliumarsenid (GaAs), Galliumantimonid (GaSb), Indiumaluminiumphosphid (InAlP), Indiumphosphid (InP) oder Kombinationen daraus umfasst.
  8. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der vorhergehenden Ansprüche, ferner Folgendes umfassend: eine Zwischenschichtdielektrikum-(ILD-)Struktur, die auf der Isolationsstruktur gebildet ist, wobei ein Abschnitt der Schutzschicht zwischen der ILD-Struktur und der Finnenstruktur gebildet ist.
  9. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur, Folgendes umfassend: ein Substrat, eine Finnenstruktur, die auf dem Substrat gebildet ist, eine Gate-Struktur, die auf einem mittleren Abschnitt der Finnenstruktur gebildet ist, wobei die Gate-Struktur eine High-k-Dielektrikumschicht und eine Metall-Gate-Elektrodenschicht umfasst, die auf der High-k-Dielektrikumschicht gebildet ist, und eine Schutzschicht, die zwischen der Finnenstruktur und der High-k-Dielektrikumschicht gebildet ist.
  10. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 9, wobei zwischen der Schutzschicht und der Finnenstruktur eine Grenzfläche liegt und diese Grenzfläche eine Rauigkeit im Bereich von etwa 0,1 bis etwa 2,0 nm aufweist.
  11. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 9 oder 10, ferner Folgendes umfassend: eine Source/Drain-(S/D-)Struktur angrenzend an die Gate-Struktur, wobei die Schutzschicht zwischen der S/D-Struktur und der Finnenstruktur gebildet ist.
  12. Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der Ansprüche 9 bis 11, ferner Folgendes umfassend: eine Zwischenschichtdielektrikum-(ILD)-Schicht, die auf der Finnenstruktur gebildet ist, wobei ein Abschnitt der Schutzschicht zwischen der ILD-Struktur und der Finnenstruktur gebildet ist.
  13. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur, Folgendes umfassend: Bereitstellen eines Substrats, Bilden einer Finnenstruktur auf dem Substrat, Bilden einer Isolationsstruktur auf dem Substrat, wobei die Finnenstruktur einen oberen Abschnitt und einen unteren Abschnitt aufweist und der untere Abschnitt in die Isolationsstruktur eingebettet wird, Bilden einer Schutzschicht auf dem oberen Abschnitt der Finnenstruktur, wobei zwischen der Schutzschicht und dem oberen Abschnitt der Finnenstruktur eine Grenzfläche liegt und diese Grenzfläche eine Rauigkeit im Bereich von etwa 0,1 bis etwa 2,0 nm aufweist.
  14. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 13, wobei das Bilden der Schutzschicht das Verwenden eines Mikrowellen-Plasmaprozesses, einer thermischen Oxidation, einer plasmaverstärkten chemischen Gasphasenabscheidung (PECVD) oder einer Atomlagenabscheidung (ALD) umfasst.
  15. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 14, wobei durch den Mikrowellen-Plasmaprozess Defekte oder ungesättigte Bindungen (dangling bonds) im oberen Abschnitt der Finnenstruktur repariert werden.
  16. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach Anspruch 14 oder 15, wobei der Mikrowellen-Plasmaprozess unter Verwendung von Sauerstoffgas (O2), Wasserstoffgas (H2) oder einer Kombination daraus ausgeführt wird.
  17. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der Ansprüche 14 bis 16, wobei der Mikrowellen-Plasmaprozess bei einer Temperatur im Bereich von etwa 400 bis etwa 600 Grad ausgeführt wird.
  18. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der Ansprüche 14 bis 17, wobei der Mikrowellen-Plasmaprozess mit einem Druck im Bereich von etwa 0,1 bis etwa 10 Torr ausgeführt wird.
  19. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der Ansprüche 13 bis 18, ferner Folgendes umfassend: Bilden einer Dielektrikumschicht auf der Finnenstruktur und dem Substrat vor dem Bilden der Isolationsstruktur auf dem Substrat, Bilden einer Opferschicht auf der Finnenstruktur und der Dielektrikumschicht, Dotieren der Finnenstruktur, Entfernen der Opferschicht und Entfernen des oberen Abschnitts der Dielektrikumschicht, um den oberen Abschnitt der Finnenstruktur freizulegen.
  20. Verfahren zum Bilden einer Finnen-Feldeffekttransistoren-(FinFET-)Bauelementstruktur nach einem der Ansprüche 13 bis 19, ferner Folgendes umfassend: Bilden einer Gate-Struktur auf einem mittleren Abschnitt der Finnenstruktur, wobei die Schutzschicht zwischen der Finnenstruktur und der Gate-Struktur gebildet wird.
DE102015106866.4A 2015-01-12 2015-05-04 Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur Active DE102015106866B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562102414P 2015-01-12 2015-01-12
US62/102,414 2015-01-12
US14/677,405 US9478660B2 (en) 2015-01-12 2015-04-02 Protection layer on fin of fin field effect transistor (FinFET) device structure
US14/677,405 2015-04-02

Publications (2)

Publication Number Publication Date
DE102015106866A1 true DE102015106866A1 (de) 2016-07-14
DE102015106866B4 DE102015106866B4 (de) 2020-10-08

Family

ID=56233754

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015106866.4A Active DE102015106866B4 (de) 2015-01-12 2015-05-04 Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur

Country Status (5)

Country Link
US (2) US9478660B2 (de)
KR (1) KR101713422B1 (de)
CN (1) CN105789278B (de)
DE (1) DE102015106866B4 (de)
TW (1) TWI599040B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104895981A (zh) * 2015-06-18 2015-09-09 无锡众扬金属制品有限公司 一种橡胶弹簧

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105826372B (zh) * 2015-01-06 2018-12-21 中芯国际集成电路制造(上海)有限公司 FinFET器件及其形成方法
US9570580B1 (en) * 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US11367789B2 (en) 2016-09-26 2022-06-21 Intel Corporation Source/drain recess etch stop layers and bottom wide-gap cap for III-V MOSFETs
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
KR20180081202A (ko) * 2017-01-05 2018-07-16 삼성전자주식회사 반도체 소자
CN109003976B (zh) * 2017-06-06 2021-05-04 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
KR102394925B1 (ko) 2017-11-16 2022-05-04 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102452925B1 (ko) 2018-02-23 2022-10-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10770302B2 (en) * 2018-09-27 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor FinFET device and method
US10714380B2 (en) * 2018-10-26 2020-07-14 Globalfoundries Inc. Method of forming smooth sidewall structures using spacer materials
US11908863B2 (en) 2018-12-31 2024-02-20 Unist(Ulsan National Institute Of Science And Technology) Transistor element, ternary inverter apparatus comprising same, and method for producing same
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112011106054T5 (de) * 2011-12-28 2014-09-11 Intel Corporation Methoden der Bildung von Hetero-Schichten mit reduzierter Oberflachenrauhigkeit und Defektdichte auf ortsfremden Oberflächen und die dadurch entstehenden Strukturen
US20140306297A1 (en) * 2012-11-09 2014-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing sti to increase fin height in fin-first process
US9093304B2 (en) * 2012-10-12 2015-07-28 Finscale Inc. Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2809113B2 (ja) * 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
US7167298B2 (en) * 2003-10-27 2007-01-23 Spatial Photonics, Inc. High contrast spatial light modulator and method
US6962843B2 (en) 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
EP2073256A1 (de) * 2007-12-20 2009-06-24 Interuniversitair Microelektronica Centrum vzw ( IMEC) Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung daraus
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
CN102157554A (zh) 2010-02-12 2011-08-17 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
US20120083127A1 (en) 2010-09-30 2012-04-05 Tokyo Electron Limited Method for forming a pattern and a semiconductor device manufacturing method
US8420464B2 (en) * 2011-05-04 2013-04-16 International Business Machines Corporation Spacer as hard mask scheme for in-situ doping in CMOS finFETs
KR101964262B1 (ko) * 2011-11-25 2019-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN103515213B (zh) 2012-06-25 2017-04-12 中芯国际集成电路制造(上海)有限公司 形成FinFET栅介质层的方法和形成FinFET的方法
CN103811543B (zh) * 2012-11-05 2018-09-18 中国科学院微电子研究所 半导体器件及其制造方法
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN103985754B (zh) 2013-02-08 2018-09-04 中国科学院微电子研究所 半导体器件及其制造方法
US9034706B2 (en) * 2013-03-13 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with regrown source/drain and methods for forming the same
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9312364B2 (en) * 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112011106054T5 (de) * 2011-12-28 2014-09-11 Intel Corporation Methoden der Bildung von Hetero-Schichten mit reduzierter Oberflachenrauhigkeit und Defektdichte auf ortsfremden Oberflächen und die dadurch entstehenden Strukturen
US9093304B2 (en) * 2012-10-12 2015-07-28 Finscale Inc. Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US20140306297A1 (en) * 2012-11-09 2014-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing sti to increase fin height in fin-first process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104895981A (zh) * 2015-06-18 2015-09-09 无锡众扬金属制品有限公司 一种橡胶弹簧

Also Published As

Publication number Publication date
KR101713422B1 (ko) 2017-03-07
CN105789278A (zh) 2016-07-20
KR20160086732A (ko) 2016-07-20
CN105789278B (zh) 2019-12-13
US20160204245A1 (en) 2016-07-14
US20170040456A1 (en) 2017-02-09
TWI599040B (zh) 2017-09-11
TW201626565A (zh) 2016-07-16
US9985133B2 (en) 2018-05-29
DE102015106866B4 (de) 2020-10-08
US9478660B2 (en) 2016-10-25

Similar Documents

Publication Publication Date Title
DE102015106866B4 (de) Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102017114973B4 (de) Halbleitervorrichtung und Verfahren zum Bilden einer Halbleitervorrichtung
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102015110028B4 (de) Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102012217491B4 (de) Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102015112604B4 (de) Verfahren für die Herstellung eines Halbleiterbauelements
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102019218267B4 (de) Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt
DE102015111262A1 (de) Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser
DE102017117971A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102020124625A1 (de) Transistoren mit nanostrukturen
DE102016101844A1 (de) Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur
DE102019116370A1 (de) Negativ geneigte isolationsstrukturen
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung
DE102016114724A1 (de) Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102017128047A1 (de) Halbleitereinrichtung und verfahren zu deren herstellung
DE102022100570A1 (de) Halbleitervorrichtungen mit parasitären kanalstrukturen
DE102017126850A1 (de) Dotierungsverfahren mit konformer Einbringung für einen Fin-Feldeffekttransistor

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final