DE102015111262A1 - Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser - Google Patents

Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser Download PDF

Info

Publication number
DE102015111262A1
DE102015111262A1 DE102015111262.0A DE102015111262A DE102015111262A1 DE 102015111262 A1 DE102015111262 A1 DE 102015111262A1 DE 102015111262 A DE102015111262 A DE 102015111262A DE 102015111262 A1 DE102015111262 A1 DE 102015111262A1
Authority
DE
Germany
Prior art keywords
stop layer
finfet
field effect
fin
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102015111262.0A
Other languages
English (en)
Inventor
Che-Cheng Chang
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015111262A1 publication Critical patent/DE102015111262A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine FinFET-Bauelementstruktur und ein Verfahren zum Ausbilden von dieser sind bereitgestellt. Die FinFET-Bauelementstruktur umfasst eine über einem Substrat ausgebildete Stoppschicht und eine über der Stoppschicht ausgebildete Finnenstruktur. Die FinFET-Bauelementstruktur umfasst eine Gatestruktur, die über der Finnenstruktur ausgebildet ist, und eine Source/Drain-Struktur (S/D-Struktur), die zu der Gatestruktur benachbart ist. Eine untere Fläche der S/D-Struktur ist an einer Position angeordnet, die höher als oder auf gleicher Höhe mit einer unteren Fläche der Stoppschicht liegt.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 62/174,236, die am 11 Juni 2015 eingereicht wurde und den Titel „Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same” trägt, und die hier in ihrer Gänze durch Rückbezug aufgenommen ist.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleiterbauelemente werden in einer Vielfalt von elektronischen Anwendungen, wie Personalcomputern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten, verwendet. Halbleiterbauelemente werden in der Regel gefertigt, indem sequenziell isolierende oder dielektrische Schichten, leitfähige Schichten und halbleitende Materialschichten über einem Halbleitersubstrat abgeschieden werden, und die verschiedenen Materialschichten unter Verwendung von Lithografie strukturiert werden, um Schaltungskomponenten und -elemente darauf auszubilden. Viele integrierte Schaltungen werden normalerweise auf einem einzelnen Halbleiter-Wafer hergestellt, und einzelne Dies auf dem Wafer werden durch Sägen zwischen den integrierten Schaltungen entlang einer Ritzlinie vereinzelt. Die einzelnen Dies werden normalerweise zum Beispiel in Multi-Chip-Modulen oder anderen Arten von Gehäusen getrennt gehäust.
  • So wie die Halbleiterindustrie zur Erzielung einer höheren Bauelementdichte, einer höheren Leistung und niedrigerer Kosten die Nanometer-Technologieknoten erreichte, führten Herausforderungen sowohl hinsichtlich der Herstellung als auch der Designprobleme zur Entwicklung von dreidimensionalen Ausgestaltungen, wie z. B. dem Fin-Feldeffekttransistor (FinFET). FinFETs werden mit einer dünnen vertikalen „Finne” (oder Finnenstruktur) oder einem „Grat”, die sich von dem Substrat erstreckt, gefertigt. Der Kanal des FinFETs wird in dieser vertikalen Finne ausgebildet. Ein Gate wird über der Finne bereitgestellt. Zu Vorteilen des FinFETs können eine Reduzierung der Kurzkanaleffekte und ein Bereitstellen eines höheren Stromflusses gehören.
  • Obwohl bisherige FinFET-Bauelemente und Verfahren zum Fertigen von FinFET-Bauelementen im Allgemeinen für ihren vorgesehenen Zweck geeignet waren, waren sie nicht im Hinblick auf alle Aspekte vollständig zufriedenstellend.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Zeichnungen gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert sein.
  • 1A bis 1J zeigen perspektivische Darstellungen verschiedener Stufen der Fertigung einer FinFET-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • 2A bis 2F sind Querschnittsdarstellungen verschiedener Stufen des Ausbildens der in 1F bis 1J gezeigten FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 3A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 3B zeigt eine entlang der Linie II' von 3A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 4A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 4B zeigt eine entlang der Linie II' von 4A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 5A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 5B zeigt eine entlang der Linie II' von 5A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 6A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 6B zeigt eine entlang der Linie II' von 6A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 7A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 7B zeigt eine entlang der Linie II' von 7A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 8A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 8B zeigt eine entlang der Linie II' von 8A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 9A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 9B zeigt eine entlang der Linie II' von 9A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • 10A ist eine perspektivische Ansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • 10B zeigt eine entlang der Linie II' von 10A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des vorliegenden Gegenstands bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Einige Abwandlungen der Ausführungsformen sind beschrieben. In den verschiedenen Ansichten und Ausführungsbeispielen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach dem Verfahren vorgesehen werden können, und einige der beschriebenen Vorgänge für andere Ausführungsformen des Verfahrens ersetzt oder eliminiert werden können.
  • Ausführungsformen zum Ausbilden einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) werden bereitgestellt. 1A bis 1J zeigen perspektivische Darstellungen verschiedener Stufen des Ausbildens einer FinFET-Bauelementstruktur 100a gemäß einigen Ausführungsformen der Offenbarung.
  • Unter Bezugnahme auf 1A wird ein erstes Substrat 102a bereitgestellt. Das erste Substrat 102a kann aus Silizium oder einem anderen Halbleitermaterial gefertigt werden. Alternativ oder zusätzlich kann das erste Substrat 102a andere Elementhalbleitermaterialien, wie z. B. Germanium, umfassen. In einigen Ausführungsformen wird das erste Substrat 102a aus einem Verbindungshalbleiter, wie z. B. Siliciumcarbid, Galliumarsenid, Indiumarsenid oder Indiumphosphid, gefertigt. In einigen Ausführungsformen wird das erste Substrat 102a aus einem Legierungshalbleiter, wie z. B. Siliziumgermanium, Silizium-Germanium-Karbid, Galliumarsenidphosphid oder Galliumindiumphosphid, gefertigt. In einigen Ausführungsformen umfasst das erste Substrat 102a eine epitaktische Schicht. Zum Beispiel weist das erste Substrat 102a eine epitaktische Schicht auf, die über einem Bulk-Halbleiter liegt.
  • Danach wird eine Stoppschicht 103 auf dem ersten Substrat 102 ausgebildet. Die Stoppschicht 103 wird als eine Ätzstoppschicht in dem nachfolgenden Prozess verwendet. In einigen Ausführungsformen wird die Stoppschicht 103 aus SiGeOx, SiGe, SiO, SiP, SiPOx und Kombinationen davon gefertigt. In einigen Ausführungsformen wird die Stoppschicht 103 ausgebildet, indem das erste Substrat 102a einem Ionenimplantationsprozess unterzogen wird. In einigen Ausführungsformen wird die Stoppschicht 103 ausgebildet, indem ein Abscheidungsprozess, wie z. B. ein Atomlagenabscheidungsprozess (ALD), ein chemischer Gasphasenabscheidungsprozess (CVD), ein physikalischer Gasphasenabscheidungsprozess (PVD) oder ein anderer geeigneter Prozess, über dem ersten Substrat 102a durchgeführt wird. Wenn die Stoppschicht 103 mithilfe des ALD-Prozesses ausgebildet wird, ist die Qualität der Stoppschicht 103 gut.
  • Danach wird ein zweites Substrat 102b über der Stoppschicht 103 ausgebildet. Mit anderen Worten wird die Stoppschicht 103 zwischen dem ersten Substrat 102a und dem zweiten Substrat 102b angeordnet. Das zweite Substrat 102b kann aus Silizium oder einem anderen Halbleitermaterial gefertigt werden. Das erste Substrat 102a und das zweite Substrat 102b können aus demselben Material oder aus verschiedenen Materialien gefertigt werden. Die Gitterkonstante der Stoppschicht 103 ist von jener des ersten Substrats 102a verschieden.
  • In einigen Ausführungsformen werden die Stoppschicht 103 und das erste Substrat 102a aus verschiedenen Materialien gefertigt, und die Stoppschicht 103 und das zweite Substrat 102b werden aus verschiedenen Materialien gefertigt. Insbesondere werden das erste Substrat 102a, die Stoppschicht 103 und das zweite Substrat 102b aus drei verschiedenen Materialien gefertigt. In einigen anderen Ausführungsformen werden das erste Substrat 102a und das zweite Substrat 102b aus demselben Material gefertigt, und die Stoppschicht 103 und das erste Substrat werden aus verschiedenen Materialien gefertigt.
  • Danach werden eine dielektrische Schicht 104 und eine Maskenschicht 106 über dem Substrat 102 ausgebildet, und eine Fotolackschicht 108 wird über der Maskenschicht 106 ausgebildet. Die Fotolackschicht 108 wird mithilfe eines Strukturierungsprozesses strukturiert. Der Strukturierungsprozess umfasst einen fotolithografischen Prozess und einen Ätzprozess. Der fotolithografische Prozess umfasst ein Fotolackbeschichten (z. B. Rotationsbeschichten), Softbake, Maskenausrichten, Belichten, Backen nach der Belichtung, Entwickeln des Fotolacks, Spülen und Trocknen (z. B. Hardbake). Der Ätzprozess umfasst einen Trockenätzprozess oder einen Nassätzprozess.
  • Die dielektrische Schicht 104 stellt eine Pufferschicht zwischen dem zweiten Substrat 102b und der Maskenschicht 106 dar. Außerdem wird die dielektrische Schicht 104 als eine Stoppschicht verwendet, wenn die Maskenschicht 106 entfernt wird. Die dielektrische Schicht 104 kann aus Siliziumoxid gefertigt werden. Die Maskenschicht 106 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder einem anderen geeigneten Material gefertigt werden. In einigen anderen Ausführungsformen wird mehr als eine Maskenschicht 106 über der dielektrischen Schicht 104 ausgebildet.
  • Die dielektrische Schicht 104 und die Maskenschicht 106 werden mithilfe eines Abscheidungsprozesses ausgebildet, wie z. B. eines chemischen Gasphasenabscheidungsprozesses (CVD), eines chemischen Gasphasenabscheidungsprozesses unter Verwendung von hochdichtem Plasma (HDPCVD), eines Rotationsbeschichtungsprozesses, eines Sputterprozesses oder eines anderen geeigneten Prozesses.
  • Nachdem die Fotolackschicht 108 strukturiert wurde, werden gemäß einigen Ausführungsformen die dielektrische Schicht 104 und die Maskenschicht 106 unter Verwendung der strukturierten Fotolackschicht 108 als einer Maske strukturiert, wie in 1B dargestellt. Folglich werden eine strukturierte dielektrische-Schicht 104 und eine strukturierte Maskenschicht 106 erzielt. Danach wird die strukturierte Fotolackschicht 108 entfernt.
  • Danach wird das Substrat 102 einem Ätzprozess unter Verwendung der strukturierten dielektrischen Schicht 104 und der strukturierten Maskenschicht 106 als einer Maske unterzogen, um eine Finnenstruktur 110 auszubilden. Der Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein. Es ist zu beachten, dass die Stoppschicht 103 ebenfalls strukturiert wird, und die Seitenwände der Stoppschicht 103 freigelegt werden. Insbesondere wird die Finnenstruktur 110 über der Stoppschicht 103 ausgebildet. Die Stoppschicht 103 wird zwischen dem ersten Substrat 102a und der Finnenstruktur 110 ausgebildet.
  • In einigen Ausführungsformen wird das Substrat 102 mithilfe eines Trockenätzprozesses geätzt. Der Trockenätzprozess umfasst ein Verwenden eines auf Fluor basierenden Ätzgases, wie z. B. SF6, CxFy, NF3, oder einer Kombinationen davon. Der Ätzprozess kann ein zeitgesteuerter Prozess sein, und er kann fortgesetzt werden, bis die Finnenstruktur 110 eine vorgegebene Höhe erreicht. In einigen anderen Ausführungsformen weist die Finnenstruktur 110 eine Breite auf, die allmählich von dem oberen Abschnitt zu dem unteren Abschnitt hin größer wird.
  • Nachdem die Finnenstruktur 110 ausgebildet wurde, werden die strukturierte dielektrische Schicht 104 und die strukturierte Maskenschicht 106 entfernt. Eine Isolationsschicht 112 wird gemäß einigen Ausführungsformen über dem Substrat 102 ausgebildet, um die Finnenstruktur 110 abzudecken, wie in 1C dargestellt.
  • In einigen Ausführungsformen wird die Isolationsschicht 112 aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, mit Fluor dotiertem Silikatglas (FSG) oder einem anderen Low-k-Dielektrikumsmaterial gefertigt. Die Isolationsschicht 112 kann mithilfe eines chemischen Gasphasenabscheidungsprozesses (CVD), eines Rotationsbeschichtungsprozesses oder eines anderen geeigneten Prozesses abgeschieden werden.
  • Danach wird gemäß einigen Ausführungsformen die Isolationsschicht 112 gedünnt oder planarisiert, um die obere Fläche der Finnenstruktur 110 freizulegen, wie in 1D dargestellt. In einigen Ausführungsformen wird die Isolationsschicht 112 mithilfe eines chemisch-mechanischen Polierprozesses (CMP) gedünnt.
  • Folglich umgibt eine Isolationsstruktur 114, z. B. eine STI-Struktur (flache Grabenisolation), die Finnenstruktur 110. In einigen Ausführungsformen ist ein unterer Abschnitt der Finnenstruktur 110 durch die Isolationsstruktur 114 umgeben, und ein oberer Abschnitt der Finnenstruktur 110 steht von der Isolationsstruktur 114 hervor. Mit anderen Worten ist ein Abschnitt der Finnenstruktur 110 in die Isolationsstruktur 114 eingebettet. Die Isolationsstruktur 114 verhindert elektrische Störungen oder ein Übersprechen.
  • Es ist zu beachten, dass eine obere Fläche der Stoppschicht 103 an einer Position angeordnet wird, die auf gleicher Höhe mit einer oberen Fläche der Isolationsstruktur 114 liegt. Insbesondere ist die Stoppschicht 103 durch die Isolationsstruktur 114, die Finnenstruktur 110 und das erste Substrat 102a umgeben.
  • In einigen Ausführungsformen weist die Stoppschicht 103 eine Breite in einem Bereich von ungefähr 1 nm bis ungefähr 50 nm auf. Wenn die Dicke kleiner ist als 1 nm, ist die Ätzstopp-Eignung der Stoppschicht 103 nicht gut genug. Wenn die Dicke größer ist als 50 nm, kann das thermische Budget vergrößert sein.
  • Danach werden gemäß einigen Ausführungsformen eine erste Dummy-Gatestruktur 116a und eine zweite Dummy-Gatestruktur 116b über der Finnenstruktur 110 ausgebildet und verlaufen über der Isolationsstruktur 114, wie in 1E dargestellt. Die erste Dummy-Gatestruktur 116a wird in einem ersten Gebiet 11 ausgebildet und die zweite Dummy-Gatestruktur 116b wird in einem zweiten Gebiet 12 ausgebildet.
  • In einigen Ausführungsformen umfasst die erste Dummy-Gatestruktur 116a eine erste Dummy-Gatedielektrikumsschicht 118a und eine erste Dummy-Gateelektrodenschicht 120a, die über der ersten Dummy-Gatedielektrikumsschicht 118a ausgebildet wird. In einigen Ausführungsformen umfasst die zweite Dummy-Gatestruktur 116b eine zweite Dummy-Gatedielektrikumsschicht 118b und eine zweite Dummy-Gateelektrodenschicht 120b, die über der zweiten Dummy-Gatedielektrikumsschicht 118a ausgebildet wird.
  • Nachdem die erste Dummy-Gatestruktur 116a und die zweite Dummy-Gatestruktur 116b ausgebildet wurden, werden erste Spacer 122a an einander gegenüberliegenden Seitenwänden der ersten Dummy-Gatestruktur 116a ausgebildet, und zweie Spacer 122b werden an einander gegenüberliegenden Seitenwänden der zweiten Dummy-Gatestruktur 116b ausgebildet. Die ersten Spacer 122a und die zweiten Spacer 122b können eine einzelne Schicht oder mehrere Schichten sein.
  • In einigen Ausführungsformen weist die erste Dummy-Gatestruktur 116a eine erste Breite W1 in einer Richtung parallel zu der Finnenstruktur 110 auf, und die zweite Dummy-Gatestruktur 116b weist eine zweite Breite W2 in einer Richtung parallel zu der Finnenstruktur 110 auf. Mit anderen Worten wird die erste Breite W1 von einem Rand des ersten Spacers 122a zu einem Rand des gegenüberliegenden Spacers 122a gemessen. Die zweite Breite W2 wird von einem Rand des zweiten Spacers 122b zu einem Rand des gegenüberliegenden Spacers 122b gemessen. Die erste Breite W1 ist kleiner als die zweite Breite W2.
  • 2A bis 2F sind Querschnittsdarstellungen verschiedener Stufen des Ausbildens der in 1E bis 1J gezeigten FinFET-Bauelementstruktur 100a gemäß einigen Ausführungsformen. 2A zeigt eine entlang der Linie II' von 1E gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen. Wie in 2A dargestellt, werden die erste Dummy-Gatestruktur 116a und die zweite Dummy-Gatestruktur 116b über der Finnenstruktur 110 und der Stoppschicht 103 ausgebildet.
  • Danach wird gemäß einigen Ausführungsformen ein oberer Abschnitt der Finnenstruktur 110, der zu der ersten Dummy-Gatestruktur 116a und der zweiten Dummy-Gatestruktur 116b benachbart ist, entfernt, wie in 1F und 2B dargestellt. Folglich wird eine Aussparung 123 über der Stoppschicht 103 ausgebildet. Eine untere Fläche der Aussparung 123 liegt auf gleicher Höhe mit der oberen Fläche der Stoppschicht 103. In einigen Ausführungsformen werden Abschnitte der Finnenstruktur 110, die zu der ersten Dummy-Gatestruktur 116a und der zweiten Dummy-Gatestruktur 116b benachbart sind, ausgespart, um Aussparungen 123 an zwei Seiten der Finnenstruktur 110 auszubilden. In einigen Ausführungsformen wird der obere Abschnitt der Finnenstruktur 110 mithilfe eines Ätzprozesses entfernt, und der Ätzprozess hält an der oberen Fläche der Stoppschicht 103 an.
  • Es ist zu beachten, dass der Ätzprozess an der oberen Fläche der Stoppschicht 103 anhält, und daher die Ätztiefe der Aussparung 123 in vertikaler Richtung unter Verwendung der Stoppschicht 103 reguliert wird. Die Ätzbreite der Aussparungen 123 in horizontaler Richtung kann entsprechend tatsächlichen Anwendungen eingestellt werden. Folglich kann das Ätzprofil der Aussparung 123 reguliert werden.
  • Danach wird gemäß einigen Ausführungsformen eine Source/Drain-Struktur (S/D-Struktur) 124 in der Aussparung 123 ausgebildet, wie in 1G und 2C dargestellt. Die unteren Flächen der S/D-Struktur 124 werden an einer Position angeordnet, die höher ist als eine untere Fläche der Stoppschicht 103. Insbesondere wird die untere Fläche der S/D-Struktur 124 an einer Position angeordnet, die auf gleicher Höhe mit der oberen Fläche der Stoppschicht 103 liegt. Die untere Fläche der S/D-Struktur 124 steht in direktem Kontakt mit der oberen Fläche der Stoppschicht 103.
  • In einigen Ausführungsformen wird ein verspanntes Material in der Aussparung 123 mithilfe eines epitaktischen Prozesses (Epi-Prozesses) aufgewachsen, um die Source/Drain-Struktur (S/D-Struktur) 124 auszubilden. Außerdem kann die Gitterkonstante des verspannten Materials von der Gitterkonstante des Substrats 102 verschieden sein. In einigen Ausführungsformen umfasst die Source/Drain-Struktur 124 Ge, SiGe, InAs, InGaAs, InSb, GaAs, GaSb, InAlP, InP oder dergleichen. Wenn ein n-Kanal-FET-Bauelement (NFET-Bauelement) gewünscht wird, kann die S/D-Struktur 124 ein epitaktisch aufgewachsenes Silizium (epi-Si) umfassen. Wenn alternativ ein p-Kanal-FET-Bauelement (PFET-Bauelement) gewünscht wird, kann die S/D-Struktur 124 ein epitaktisch aufgewachsenes Siliziumgermanium (SiGe) umfassen. In einigen Ausführungsformen werden die S/D-Struktur 124 und die Stoppschicht 103 aus verschiedenen Materialien gefertigt.
  • In einigen Ausführungsformen weist die S/D-Struktur 124 eine rautenförmige Form auf. Die S/D-Struktur 124 weist eine angehobene Höhe H1 über der oberen Fläche der Finnenstruktur 110 auf. Wenn die angehobene Höhe H1 zu groß ist, können die Gatespacer 122a, 122b aufgrund einer von der S/D-Struktur 124 erzeugten Druckbelastung einstürzen. Wenn die angehobene Höhe H1 zu klein ist, ist ein Kontakt-Landefenster kleiner als ein vorgegebener Wert.
  • Nachdem die S/D-Struktur 124 ausgebildet wurde, wird gemäß einigen Ausführungsformen eine Kontakt-Ätzstoppschicht (contact etch stop layer, CESL) 126 über dem Substrat 102 ausgebildet, und eine dielektrische Zwischenschichtstruktur (ILD-Struktur) 128 wird über der Kontakt-Ätzstoppschicht 126 ausgebildet, wie in 1H und 2D dargestellt.
  • In einigen Ausführungsformen wird die Kontakt-Ätzstoppschicht 126 aus Siliziumnitrid, Siliziumoxinitrid und/oder anderen geeigneten Materialien gefertigt. Die Kontakt-Ätzstoppschicht 126 kann mithilfe einer plasmaunterstützten CVD, einer Niederdruck-CVD, einer ALD oder anderer geeigneter Prozesse ausgebildet werden.
  • Die ILD-Struktur 128 kann Mehrfachschichten umfassen, die aus mehreren dielektrischen Materialien, wie z. B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Tetraethoxysilan (TEOS), Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), einem Low-K-Dielektrikumsmaterial und/oder anderen geeigneten dielektrischen Materialien gefertigt werden. Beispiele von Low-k-Dielektrikumsmaterialien umfassen, sind jedoch nicht beschränkt auf: fluoriertes Silikatglas (FSG), mit Kohlenstoff dotiertes Siliziumoxid, amorphen fuorierten Kohlenstoff, Parylen, bis-Benzocyclobuten (BCB) oder Polyimid. Die ILD-Struktur 128 kann mithilfe einer chemischen Gasphasenabscheidung (CVD), einer physikalischen Gasphasenabscheidung (PVD), einer Atomlagenabscheidung (ALD) einer Rotationsbeschichtung (Spin-on) oder anderer geeigneter Prozesse ausgebildet werden.
  • Danach wird die ILD-Struktur 128 einem Polierprozess unterzogen, bis die obere Fläche der ersten Dummy-Gatestruktur 116a und die obere Fläche der zweiten Dummy-Gatestruktur 116b freigelegt werden. In einigen Ausführungsformen wird die ILD-Struktur 128 mithilfe eines chemisch-mechanischen Polierprozesses (CMP) planarisiert.
  • Nachdem die ILD-Struktur 128 ausgebildet wurde, wird gemäß einigen Ausführungsformen die erste Dummy-Gatestruktur 116a entfernt, um einen ersten Graben 130a in der ILD-Struktur 128 auszubilden, und die zweite Dummy-Gatestruktur 116b wird entfernt, um einen zweiten Graben 130b in der ILD-Struktur 128 auszubilden, wie in 1I und 2E dargestellt. Die erste Dummy-Gatestruktur 116a und die zweite Dummy-Gatestruktur 116b können mithilfe eines Nassätzprozesses oder eines Trockenätzprozesses entfernt werden.
  • Nachdem der erste Graben 130a und der zweite Graben 130b ausgebildet wurden, werden gemäß einigen Ausführungsformen eine erste Gatestruktur 132a und eine zweite Gatestruktur 132b in dem ersten Graben 130a bzw. dem zweiten Graben 130b ausgebildet, wie in 1J und 2F dargestellt.
  • Die erste Gatestruktur 132a umfasst eine erste Gatedielektrikumsschicht 134a und eine erste Gateelektrodenschicht 138a. Die zweite Gatestruktur 132b umfasst eine zweite Gatedielektrikumsschicht 134b und eine zweite Gateelektrodenschicht 138b.
  • Die Gatedielektrikumsschichten 134a, 134b können eine einfache Schicht oder mehrfache Schichten sein. Die Gatedielektrikumsschichten 134a, 134b werden unabhängig aus Siliziumoxid (SiOx). Siliziumnitrid (SixNy), Siliziumoxinitrid (SiON), einem dielektrischen Material (dielektrischen Materialien) mit einer niedrigen Dielektrizitätskonstante (low-k), oder Kombinationen davon gefertigt. In einigen Ausführungsformen wird die Gatedielektrikumsschicht 134 aus einem Extreme-Low-k-Dielektrikumsmaterial (ELK-Dielektrikumsmaterial) mit einer Dielektrizitätskonstante (k), die kleiner als ungefähr 2,5 ist, gefertigt. In einigen Ausführungsformen umfassen ELK-Dielektrikumsmaterialien mit Kohlenstoff dotiertes Siliziumoxid, amorphen fluorierten Kohlenstoff, Parylen, bis-Benzocyclobuten (BCB), Polytetrafluorethylen (PTFE) (Teflon) oder Siliziumoxicarbid-Polymere (SiOC). In einigen Ausführungsformen umfassen ELK-Dielektrikumsmaterialien eine poröse Version eines vorhandenen dielektrischen Materials, wie z. B. Hydrogensilsesquioxan (HSQ), poröses Methylsilsesquioxan (MSQ), poröses Polyarylether (PAE), poröses SiLK oder poröses Siliziumoxid (SiO2). In einigen Ausführungsformen werden die Gatedielektrikumsschichten 134a, 134b mithilfe eines plamsaunterstützten chemischen Gasphasenabscheidungsprozesses (PECVD) oder eines Rotationsbeschichtungsprozesses abgeschieden.
  • In einigen anderen Ausführungsformen wird eine Austrittsarbeitsschicht (nicht dargestellt) zwischen den Gatedielektrikumsschichten 134a, 134b und den Gateelektrodenschichten 138a, 138b ausgebildet. In einigen Ausführungsformen wird die Austrittsarbeitsschicht aus einem Metallmaterial gefertigt, und das Metallmaterial kann ein n-Austrittsarbeitsmetall oder ein p-Austrittsarbeitsmetall umfassen. Das n-Austrittsarbeitsmetall umfasst Wolfram (W), Kupfer (Cu), Titan (Ti), Silber (Ag), Aluminium (Al), Legierung aus Titan und Aluminium (TiAl), Titan-Aluminium-Nitrid (TiAlN), Tantalcarbid (TaC), Tantal-Kohlenstoff-Nitrid (TaCN), Tantal-Silizium-Nitrid (TaSiN), Mangan (Mn), Zirkonium (Zr) oder Kombinationen davon. Das p-Austrittsarbeitsmetall umfasst Titannitrid (TiN), Wolframnitrid (WN), Tantalnitrid (TaN), Ruthenium (Ru) oder Kombinationen davon.
  • Die Gateelektrodenschichten 138a, 138b werden aus einem leitfähigen Material, wie z. B. Aluminium (Al), Kupfer (Cu), Wolfram (W), Titan (Ti), Tantal (Ta), oder anderen geeigneten Materialien gefertigt. Die Gateelektrodenschichten 138a, 138b werden mithilfe eines Abscheidungsprozesses, wie z. B. einer chemischen Gasphasenabscheidung (CVD), einer physikalischen Gasphasenabscheidung (PVD), einer Atomlagenabscheidung (ALD), einer CVD unter Verwendung von hochdichtem Plasma (HDPCVD), einer metallorganischen CVD (MOCVD) oder einer plasmaunterstützten CVD (PECVD), ausgebildet.
  • Ein Kanalgebiet wird unter der ersten Gatestruktur 132a und der zweiten Gatestruktur 132b ausgebildet, und das Kanalgebiet wird durch die erste Gatestruktur 132a und die zweite Gatestruktur 132b umschlossen. Das Material der S/D-Struktur 124 ist von jenem des ersten Substrats 102a verschieden. Demzufolge wird ein Kanalgebiet der FinFET-Bauelementstruktur 100a verspannt oder beansprucht, um eine Ladungsträgerbeweglichkeit eines Bauelements zu ermöglichen und die Bauelementleistung zu verbessern.
  • Die Aussparung 123 (in 1F und 2B dargestellt) definiert eine Oberflächennähe. Die Oberflächennähe ist die Strecke, über die sich die obere Fläche der Finnenstruktur 110 von einer Seitenwand der Gatestruktur 132a, 132b zu der Aussparung 123 (oder, wenn die Aussparung 123 gefüllt ist, der S/D-Struktur 124) erstreckt. Wie vorstehend erwähnt, kann die Ätztiefe der Aussparung 123 in vertikaler Richtung an der Stoppschicht 103 angehalten werden. Nachdem die Ätztiefe angehalten wurde, kann die Ätzbreite in horizontaler Richtung reguliert werden, indem die Ätzparameter des Ätzprozesses eingestellt werden. Folglich kann ein Ätzprofil der Aussparung 123 reguliert werden. Mit anderen Worten kann der Abschnitt der Finnenstruktur 110 größtenteils in seitlicher Richtung mit einem geringen Ätzen in vertikaler Richtung geätzt werden. Daher wird die Oberflächennähe reduziert. Wenn die Oberflächennähe reduziert ist, sind die Leistung und die Zuverlässigkeit der FinFET-Bauelementstruktur 100a verbessert. Außerdem wird der Effekt der draininduzierten Barrierenerniedrigung (DIBL) unterbunden.
  • Die Gitterkonstante der Stoppschicht 103 ist von jener der ersten Gateelektrodenschicht 138a und der zweiten Gateelektrodenschicht 138b verschieden. Demgemäß kann das Kanalgebiet der FinFET-Bauelementstruktur 100a aufgrund des Unterschieds der Gitterkonstante zwischen den zwei Materialien verspannt oder beansprucht werden.
  • Bei Gebieten mit anderen freigelegten Bereichen (oder geätzten Bereichen) ist es aufgrund des Loading-Effekts schwierig, die Ätzgleichförmigkeit zu kontrollieren. Je nach der Ätzstrategie besteht der Loading-Effekt darin, dass die Ätzrate für eine größere Fläche entweder schneller oder langsamer ist als sie es für eine kleinere Fläche ist. Mit anderen Worten besteht der Loading-Effekt darin, dass die Ätzrate in einer größeren Fläche zu der Ätzrate in einer kleinen Fläche fehlangepasst ist. Dies bedeutet, dass der Loading-Effekt durch die Strukturdichte beeinflusst sein kann. Während des Ätzens der Finnenstruktur 110 in dem ersten Gebiet 11 und dem zweiten Gebiet 12 ist es daher schwieriger, die Gleichförmigkeit der Ätztiefe zu kontrollieren. Durch Einsetzten der Stoppschicht 103 zwischen die Finnenstruktur 110 und das erste Substrat 102a wird der aufgrund der unterschiedlichen Strukturdichte auftretende Loading-Effekt reduziert.
  • 3A ist eine perspektivische Ansicht einer Halbleiterstruktur 100b gemäß einigen Ausführungsformen. Einige Prozesse und Materialien, die zum Ausbilden der Halbleiterstruktur 100b verwendet werden, sind jenen, die zum Ausbilden der Halbleiterstruktur 100a verwendet wurden, ähnlich oder mit ihnen identisch und werden hier nicht wiederholt. 3B zeigt eine entlang der Linie II von 3A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 3A dargestellt, ist die obere Fläche der Stoppschicht 103 an einer Position angeordnet, die tiefer als die obere Fläche der Isolationsstruktur 114 liegt. Die Seitenwände der Stoppschicht 103 stehen vollständig in direktem Kontakt mit der Isolationsstruktur 114.
  • Wie in 3B dargestellt, ist ein unterer Abschnitt der S/D-Struktur 124 in der Stoppschicht 103 ausgebildet. Ein Abschnitt der S/D-Struktur 124 ist in die Stoppschicht 103 eingesetzt. Mit anderen Worten ist die untere Fläche der S/D-Struktur 124 an einer Position angeordnet, die höher liegt als die untere Fläche der Stoppschicht 103. Die untere Fläche der S/D-Struktur 124 ist an einer Position angeordnet, die tiefer liegt als die obere Fläche der Stoppschicht 103.
  • 4A ist eine perspektivische Ansicht einer Halbleiterstruktur 100c gemäß einigen Ausführungsformen. Einige Prozesse und Materialien, die zum Ausbilden der Halbleiterstruktur 100c verwendet werden, sind jenen, die zum Ausbilden der Halbleiterstruktur 100a verwendet wurden, ähnlich oder mit ihnen identisch und werden hier nicht wiederholt. 4B zeigt eine entlang der Linie II' von 4A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 4a dargestellt, ist ein Abschnitt der Stoppschicht 103 entfernt, und die untere Fläche der S/D-Struktur 124 steht in direktem Kontakt mit der oberen Fläche des ersten Substrats 102a.
  • Wie in 4B dargestellt, ist die verbleibende Stoppschicht 103 direkt unter der ersten Gatestruktur 132a und der zweiten Gatestruktur 132b ausgebildet. Es ist keine Stoppschicht direkt unter der S/D-Struktur 124 ausgebildet.
  • 5A ist eine perspektivische Ansicht einer Halbleiterstruktur 100d gemäß einigen Ausführungsformen. 5B zeigt eine entlang der Linie II' von 5A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 5A dargestellt, ist die obere Fläche der Stoppschicht 103 an einer Position angeordnet, die höher liegt als die obere Fläche der Isolationsstruktur 114. In einigen Ausführungsformen liegt der mittlere Abschnitt der Stoppschicht 103 im Wesentlichen auf gleicher Höhe mit der oberen Fläche der Isolationsstruktur 114.
  • Wie in 5B dargestellt, befindet sich die untere Fläche der S/D-Struktur 124 an einer Position, die höher liegt als die untere Fläche der Stoppschicht 103. Die untere Fläche der S/D-Struktur 124 liegt im Wesentlichen auf gleicher Höhe mit der oberen Fläche der Stoppschicht 103.
  • 6A ist eine perspektivische Ansicht einer Halbleiterstruktur 100e gemäß einigen Ausführungsformen. 6B zeigt eine entlang der Linie II' von 6A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 6A dargestellt, ist die obere Fläche der Stoppschicht 103 an einer Position angeordnet, die höher liegt als die obere Fläche der Isolationsstruktur 114. In einigen Ausführungsformen liegt der mittlere Abschnitt der Stoppschicht 103 im Wesentlichen auf gleicher Höhe mit der oberen Fläche der Isolationsstruktur 114.
  • Wie in 6B dargestellt, ist ein Abschnitt der S/D-Struktur 124 in der Stoppschicht 103 ausgebildet. Ein Abschnitt der S/D-Struktur 124 ist in die Stoppschicht 103 eingesetzt. Die untere Fläche der S/D-Struktur 124 ist an einer Position angeordnet, die tiefer liegt als die obere Fläche der Stoppschicht 103.
  • 7A ist eine perspektivische Ansicht einer Halbleiterstruktur 100f gemäß einigen Ausführungsformen. 7B zeigt eine entlang der Linie II' von 7A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 7A dargestellt, ist die untere Fläche der Stoppschicht 103 an einer Position angeordnet, die tiefer liegt als die obere Fläche der Isolationsstruktur 114.
  • Wie in 7B dargestellt, ist ein Abschnitt der S/D-Struktur 124 in der Stoppschicht 103 ausgebildet. Die untere Fläche der S/D-Struktur 124 ist an einer Position angeordnet, die auf gleicher Höhe mit der unteren Fläche der Stoppschicht 103 liegt.
  • 8A ist eine perspektivische Ansicht einer Halbleiterstruktur 100g gemäß einigen Ausführungsformen. 8B zeigt eine entlang der Linie II' von 8A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 8A dargestellt, ist die untere Fläche der Stoppschicht 103 an einer Position angeordnet, die auf gleicher Höhe mit der oberen Fläche der Isolationsstruktur 114 liegt. Die obere Fläche der Stoppschicht 103 ist an einer Position angeordnet, die höher liegt als die obere Fläche der Isolationsstruktur 114.
  • Wie in 8B dargestellt, ist die untere Fläche der S/D-Struktur 124 an einer Position angeordnet, die auf gleicher Höhe mit der oberen Fläche der Stoppschicht 103 liegt.
  • 9A ist eine perspektivische Ansicht einer Halbleiterstruktur 100h gemäß einigen Ausführungsformen. 9B zeigt eine entlang der Linie II' von 9A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 9A dargestellt, ist die obere Fläche der Stoppschicht 103 an einer Position angeordnet, die höher liegt als die obere Fläche der Isolationsstruktur 114. Die untere Fläche der Stoppschicht 103 ist an einer Position angeordnet, die auf gleicher Höhe mit der oberen Fläche der Isolationsstruktur 114 liegt.
  • Wie in 9B dargestellt, ist ein Abschnitt der S/D-Struktur 124 in der Stoppschicht 103 ausgebildet. Die untere Fläche der S/D-Struktur 124 ist an einer Position angeordnet, die tiefer liegt als die obere Fläche der Stoppschicht 103.
  • 10A ist eine perspektivische Ansicht einer Halbleiterstruktur 100i gemäß einigen Ausführungsformen. 10B zeigt eine entlang der Linie II' von 10A gezeichnete Querschnittsdarstellung der FinFET-Bauelementstruktur gemäß einigen Ausführungsformen.
  • Wie in 10A dargestellt, ist die untere Fläche der Stoppschicht 103 an einer Position angeordnet, die auf gleicher Höhe mit der oberen Fläche der Isolationsstruktur 114 liegt.
  • Wie in 10B dargestellt, ist die untere Fläche der S/D-Struktur 124 an einer Position angeordnet, die auf gleicher Höhe mit der unteren Fläche der Stoppschicht 103 liegt.
  • Ausführungsformen einer FinFET-Bauelementstruktur und ein Verfahren zum Ausbilden von dieser sind bereitgestellt. Eine FinFET-Bauelementstruktur umfasst eine Stoppschicht über einem Substrat, und eine über der Stoppschicht ausgebildete Finnenstruktur. Eine Gatestruktur wird über der Finnenstruktur ausgebildet, und eine S/D-Struktur wird in einer Aussparung benachbart zu der Gatestruktur ausgebildet. Das Ätzprofil einer Aussparung wird unter Verwendung der Stoppschicht reguliert. Die Oberflächennähe wird durch Ausbilden der Stoppschicht reduziert. Daher sind die Leistung und die Zuverlässigkeit der der FinFET-Bauelementstruktur verbessert.
  • In einigen Ausführungsformen ist eine FinFET-Bauelementstruktur bereitgestellt. Die FinFET-Bauelementstruktur umfasst eine über einem Substrat ausgebildete Stoppschicht und eine über der Stoppschicht ausgebildete Finnenstruktur. Die FinFET-Bauelementstruktur umfasst eine Gatestruktur, die über der Finnenstruktur ausgebildet ist, und eine Source/Drain-Struktur (S/D-Struktur), die zu der Gatestruktur benachbart ist. Eine untere Fläche der S/D-Struktur ist an einer Position angeordnet, die höher als oder auf gleicher Höhe mit einer unteren Fläche der Stoppschicht liegt.
  • In einigen Ausführungsformen ist eine FinFET-Bauelementstruktur bereitgestellt. Die FinFET-Bauelementstruktur umfasst eine über einem Substrat ausgebildete Stoppschicht und eine über dem Substrat ausgebildete Isolationsstruktur. Eine obere Fläche der Stoppschicht ist an einer Position angeordnet, die höher als, auf gleicher Höhe mit oder tiefer als eine obere Fläche der Isolationsstruktur liegt. Die FinFET-Bauelementstruktur umfasst eine über der Stoppschicht ausgebildete Finnenstruktur und eine über der Finnenstruktur ausgebildete Gatestruktur. Die FinFET-Bauelementstruktur umfasst ferner eine Source/Drain-Struktur (S/D-Struktur), die zu der Gatestruktur benachbart ist.
  • In einigen Ausführungsformen ist ein Verfahren zum Ausbilden einer Halbleiterbauelementstruktur bereitgestellt. Das Verfahren umfasst ein Ausbilden einer Stoppschicht über einem Substrat und ein Ausbilden einer Finnenstruktur über der Stoppschicht. Das Verfahren umfasst ferner ein Ausbilden einer Isolationsstruktur über dem Substrat. Die Isolationsstruktur ist zu der Stoppschicht benachbart. Das Verfahren umfasst ein Ausbilden einer Dummy-Gatestruktur über der Finnenstruktur und ein Entfernen eines Abschnitts der Finnenstruktur, um eine Aussparung über dem Substrat auszubilden. Die Aussparung ist zu der Dummy-Gatestruktur benachbart. Das Verfahren umfasst ferner ein Ausbilden einer Source/Drain-Struktur (S/D-Struktur) in der Aussparung, und die S/D-Struktur wird an einer Position angeordnet, die höher als oder auf gleicher Höhe mit einer unteren Fläche der Stoppschicht liegt.
  • Das Vorstehende skizziert Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage für Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann soll ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur), umfassend: eine über einem Substrat ausgebildete Stoppschicht, eine über der Stoppschicht ausgebildete Finnenstruktur, eine über der Finnenstruktur ausgebildete Gatestruktur, und eine Source/Drain-Struktur (S/D-Struktur), die zu der Gatestruktur benachbart ist, wobei eine untere Fläche der S/D-Struktur an einer Position angeordnet ist, die höher als oder auf gleicher Höhe mit einer unteren Fläche der Stoppschicht liegt.
  2. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 1, wobei die untere Fläche der S/D-Struktur an einer Position angeordnet ist, die auf gleicher Höhe mit der oberen Fläche der Stoppschicht liegt.
  3. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 1 oder 2, wobei die untere Fläche der S/D-Struktur an einer Position angeordnet ist, die sich in der Stoppschicht befindet.
  4. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei die Stoppschicht aus SiGeOx, SiGe, SiO, SiP, SiPOx und einer Kombinationen davon gefertigt ist.
  5. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei die Stoppschicht und die S/D-Struktur aus verschiedenen Materialien gefertigt sind.
  6. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, ferner umfassend: eine über dem Substrat ausgebildete Isolationsstruktur, wobei die Isolationsstruktur zu der Stoppschicht benachbart ist.
  7. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 6, wobei eine obere Fläche der Stoppschicht an einer Position angeordnet ist, die höher als, auf gleicher Höhe mit oder tiefer als eine obere Fläche der Isolationsstruktur liegt.
  8. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei die Gatestruktur eine Gatedielektrikumsschicht und eine Gateelektrodenschicht umfasst, und eine Gitterkonstante der Gateelektrodenschicht von einer Gitterkonstante der Stoppschicht verschieden ist.
  9. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur), umfassend: eine über einem Substrat ausgebildete Stoppschicht, eine über dem Substrat ausgebildete Isolationsstruktur, wobei eine obere Fläche der Stoppschicht an einer Position angeordnet ist, die höher als, auf gleicher Höhe mit oder tiefer als eine obere Fläche der Isolationsstruktur liegt, eine über der Stoppschicht ausgebildete Finnenstruktur, eine über der Finnenstruktur ausgebildete Gatestruktur, und eine Source/Drain-Struktur (S/D-Struktur), die zu der Gatestruktur benachbart ist.
  10. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 9, wobei eine untere Fläche der S/D-Struktur in direktem Kontakt mit einer oberen Fläche der Stoppschicht steht.
  11. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 9 oder 10, wobei ein Abschnitt der S/D-Struktur in die Stoppschicht eingesetzt ist.
  12. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 9 bis 11, wobei die untere Fläche der S/D-Struktur an einer Position angeordnet ist, die auf gleicher Höhe mit einer unteren Fläche der Stoppschicht liegt.
  13. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 9 bis 12, wobei die Stoppschicht aus SiGeOx, SiGe, SiO, SiP, SiPOx und einer Kombinationen davon gefertigt ist.
  14. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 9 bis 13, wobei die Gatestruktur eine Gatedielektrikumsschicht und eine Gateelektrodenschicht umfasst, und eine Gitterkonstante der Gateelektrodenschicht von einer Gitterkonstante der Stoppschicht verschieden ist.
  15. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 9 bis 14, wobei die Source/Drain-Struktur (S/D-Struktur) und die Stoppschicht aus verschiedenen Materialien gefertigt sind.
  16. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 9 bis 15, wobei die Stoppschicht eine Dicke in einem Bereich von ungefähr 1 nm bis ungefähr 50 nm aufweist.
  17. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur), umfassend: Ausbilden einer Stoppschicht über einem Substrat, Ausbilden einer Finnenstruktur über der Stoppschicht, Ausbilden einer Isolationsstruktur über dem Substrat, wobei die Isolationsstruktur zu der Stoppschicht benachbart ist, Ausbilden einer Dummy-Gatestruktur über der Finnenstruktur, Entfernen eines Abschnitts der Finnenstruktur, um eine Aussparung über dem Substrat auszubilden, wobei die Aussparung zu der Dummy-Gatestruktur benachbart ist, und Ausbilden einer Source/Drain-Struktur (S/D-Struktur) in der Aussparung, wobei die S/D-Struktur an einer Position angeordnet wird, die höher als oder auf gleicher Höhe mit einer unteren Fläche der Stoppschicht liegt.
  18. Verfahren zum Ausbilden der Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 17, wobei das Ausbilden der Stoppschicht über einem Substrat umfasst: Bereitstellen des Substrats, und Durchführen eines Ionenimplantationsprozesses oder eines Abscheidungsprozesses über dem Substrat, um die Stoppschicht auszubilden.
  19. Verfahren zum Ausbilden der Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 17 oder 18, ferner umfassend: Ausbilden einer dielektrischen Zwischenschichtstruktur (ILD-Struktur) über dem Substrat und zu der Dummy-Gatestruktur benachbart, Entfernen der Dummy-Gatestruktur, um einen Graben in der ILD-Struktur auszubilden, und Einfüllen einer Gatestruktur in den Graben.
  20. Verfahren zum Ausbilden der Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 17 bis 19, wobei das Ausbilden der Isolationsstruktur über dem Substrat umfasst: Ausbilden eines Isolationsmaterials über der Finnenstruktur und dem Substrat, Ätzen eines Abschnitts des Isolationsmaterials, um eine obere Fläche der Finnenstruktur freizulegen und die Isolationsstruktur auszubilden, wobei eine obere Fläche der Stoppschicht an einer Position angeordnet wird, die höher als, auf gleicher Höhe mit oder tiefer als eine obere Fläche der Isolationsstruktur liegt.
DE102015111262.0A 2015-06-11 2015-07-13 Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser Pending DE102015111262A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562174236P 2015-06-11 2015-06-11
US62/174,236 2015-06-11
US14/792,303 US10084085B2 (en) 2015-06-11 2015-07-06 Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US14/792,303 2015-07-06

Publications (1)

Publication Number Publication Date
DE102015111262A1 true DE102015111262A1 (de) 2016-12-15

Family

ID=57394812

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015111262.0A Pending DE102015111262A1 (de) 2015-06-11 2015-07-13 Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser

Country Status (5)

Country Link
US (3) US10084085B2 (de)
KR (1) KR101802596B1 (de)
CN (1) CN106252407B (de)
DE (1) DE102015111262A1 (de)
TW (1) TWI606586B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019119807A1 (de) * 2019-07-18 2021-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102018125383B4 (de) 2018-08-30 2024-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zur bildung einer finfet-vorrichtung

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9490253B1 (en) 2015-09-23 2016-11-08 International Business Machines Corporation Gate planarity for finFET using dummy polish stop
US9722079B2 (en) * 2015-10-15 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
CN109003899B (zh) * 2017-06-06 2021-08-06 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法、鳍式场效应晶体管的形成方法
CN109087892B (zh) * 2017-06-14 2023-03-21 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法、鳍式场效应晶体管的形成方法
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
DE102018126911A1 (de) * 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
WO2019108237A1 (en) * 2017-11-30 2019-06-06 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US10615276B2 (en) 2017-12-22 2020-04-07 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US10461078B2 (en) * 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US11158545B2 (en) * 2018-09-25 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming isolation features in metal gates
US11011636B2 (en) * 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11205647B2 (en) * 2019-06-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11515165B2 (en) * 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11562910B2 (en) * 2021-03-19 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6693335B2 (en) 1998-09-01 2004-02-17 Micron Technology, Inc. Semiconductor raised source-drain structure
DE10064658B4 (de) 2000-12-22 2004-04-08 Siemens Ag Rechneranordnung, die an ein Datenübertragungsnetz anschließbar ist
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
JP2004214413A (ja) 2002-12-27 2004-07-29 Toshiba Corp 半導体装置
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7019342B2 (en) 2003-07-03 2006-03-28 American Semiconductor, Inc. Double-gated transistor circuit
US7015547B2 (en) 2003-07-03 2006-03-21 American Semiconductor, Inc. Multi-configurable independently multi-gated MOSFET
US7015078B1 (en) 2003-09-09 2006-03-21 Advanced Micro Devices, Inc. Silicon on insulator substrate having improved thermal conductivity and method of its formation
US7714384B2 (en) 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100560818B1 (ko) 2004-06-02 2006-03-13 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP4675585B2 (ja) 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
JPWO2006006438A1 (ja) 2004-07-12 2008-04-24 日本電気株式会社 半導体装置及びその製造方法
JP2006080508A (ja) 2004-08-27 2006-03-23 Asahi Kasei Microsystems Kk 半導体デバイス及びその製造方法
US7105897B2 (en) 2004-10-28 2006-09-12 Taiwan Semiconductor Manufacturing Company Semiconductor structure and method for integrating SOI devices and bulk devices
US20060091490A1 (en) 2004-11-03 2006-05-04 Hung-Wei Chen Self-aligned gated p-i-n diode for ultra-fast switching
DE102004055929B4 (de) 2004-11-19 2014-05-22 Qimonda Ag Nichtflüchtige Speicherzellen-Anordnung
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
KR100645053B1 (ko) 2004-12-28 2006-11-10 삼성전자주식회사 증가된 활성영역 폭을 가지는 반도체 소자 및 그 제조 방법
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7323389B2 (en) 2005-07-27 2008-01-29 Freescale Semiconductor, Inc. Method of forming a FINFET structure
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
DE102005039365B4 (de) 2005-08-19 2022-02-10 Infineon Technologies Ag Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis
US7326976B2 (en) 2005-11-15 2008-02-05 International Business Machines Corporation Corner dominated trigate field effect transistor
JP2007242737A (ja) 2006-03-06 2007-09-20 Toshiba Corp 半導体装置
US7777275B2 (en) 2006-05-18 2010-08-17 Macronix International Co., Ltd. Silicon-on-insulator structures
US7517764B2 (en) 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
JP4271210B2 (ja) * 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
KR100748261B1 (ko) 2006-09-01 2007-08-09 경북대학교 산학협력단 낮은 누설전류를 갖는 fin 전계효과트랜지스터 및 그제조 방법
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US8106381B2 (en) 2006-10-18 2012-01-31 Translucent, Inc. Semiconductor structures with rare-earths
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
JP2009032955A (ja) 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
EP2037492A1 (de) 2007-09-11 2009-03-18 S.O.I.Tec Silicon Insulator Technologies Mehrfach-Gate Feldeffekttransistorstruktur und zugehöriges Herstellungsverfahren
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US7656183B2 (en) 2008-01-17 2010-02-02 United Microelectronics Corp. Method to extract gate to source/drain and overlap capacitances and test key structure therefor
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7838373B2 (en) 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
JP5305969B2 (ja) 2009-02-17 2013-10-02 株式会社東芝 半導体装置
US20100308440A1 (en) 2009-06-08 2010-12-09 Globalfoundries Inc. Semiconductor structures and methods for stabilizing silicon-comprising structures on a silicon oxide layer of a semiconductor substrate
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8294211B2 (en) 2010-01-14 2012-10-23 GlobalFoundries, Inc. Semiconductor transistor device structure with back side gate contact plugs, and related manufacturing method
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8367498B2 (en) * 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
KR101835655B1 (ko) * 2012-03-06 2018-03-07 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 제조 방법
WO2013154574A1 (en) * 2012-04-13 2013-10-17 Intel Corporation Conversion of strain-inducing buffer to electrical insulator
CN103855011B (zh) * 2012-11-30 2017-10-17 中国科学院微电子研究所 FinFET及其制造方法
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
US8815691B2 (en) 2012-12-21 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate all around device
US8927377B2 (en) * 2012-12-27 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs with self-aligned source/drain
CN105051905B (zh) * 2013-03-15 2018-10-23 英特尔公司 具有底层蚀刻停止的纳米线晶体管
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
KR102130056B1 (ko) * 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
KR102155327B1 (ko) * 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9722079B2 (en) * 2015-10-15 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018125383B4 (de) 2018-08-30 2024-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zur bildung einer finfet-vorrichtung
DE102019119807A1 (de) * 2019-07-18 2021-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102019119807B4 (de) 2019-07-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement

Also Published As

Publication number Publication date
TW201644052A (zh) 2016-12-16
CN106252407B (zh) 2020-06-05
US10084085B2 (en) 2018-09-25
US20180337279A1 (en) 2018-11-22
US20210050447A1 (en) 2021-02-18
KR20160146466A (ko) 2016-12-21
US11594634B2 (en) 2023-02-28
KR101802596B1 (ko) 2017-11-28
TWI606586B (zh) 2017-11-21
US20160365446A1 (en) 2016-12-15
CN106252407A (zh) 2016-12-21
US10763362B2 (en) 2020-09-01

Similar Documents

Publication Publication Date Title
DE102015111262A1 (de) Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102017114973B4 (de) Halbleitervorrichtung und Verfahren zum Bilden einer Halbleitervorrichtung
DE102015105996B4 (de) Verfahren zum ausbilden von einem fin-feldeffekttransistor-bauelement (finfet-bauelement) mit einer regulierten kritischen ende-zu-ende-abmessung
DE102015110028B4 (de) Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102012110995B4 (de) Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102017110846A1 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE102015112913A1 (de) Halbleiterbauelement und Herstellungsverfahren hierfür
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE102015104490A1 (de) Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements
DE102015112914A1 (de) Struktur eines Fin-Feldeffekttransistorbauelements (FinFET- Bauelement) mit Zwischenverbindungsstruktur
US9899382B2 (en) Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
DE102017117971A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102019124526A1 (de) Halbleitervorrichtung und verfahren
DE102016117473A1 (de) Halbleitervorrichtung und verfahren zum herstellen von dieser
DE102016100273A1 (de) Struktur und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102020105936A1 (de) Halbleitervorrichtung und verfahren zum herstellen derselben
DE102018103163A1 (de) Struktur mit eingebetteter speichervorrichtung und kontaktisolationsschema

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication