DE102016115983A1 - Halbleiterstruktur und Herstellungsverfahren - Google Patents

Halbleiterstruktur und Herstellungsverfahren Download PDF

Info

Publication number
DE102016115983A1
DE102016115983A1 DE102016115983.2A DE102016115983A DE102016115983A1 DE 102016115983 A1 DE102016115983 A1 DE 102016115983A1 DE 102016115983 A DE102016115983 A DE 102016115983A DE 102016115983 A1 DE102016115983 A1 DE 102016115983A1
Authority
DE
Germany
Prior art keywords
gate
gate structure
electrode layer
plug
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016115983.2A
Other languages
English (en)
Inventor
Chih-Hung Hsieh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016115983A1 publication Critical patent/DE102016115983A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Eine Halbleitervorrichtung umfasst einen ersten und einen zweiten FET, die einen ersten bzw. einen zweiten Kanalbereich aufweisen. Der erste und der zweite FET umfassen eine erste bzw. eine zweite Gatestruktur. Die erste und die zweite Gatestruktur umfassen eine erste und eine zweite Gatedielektrikumsschicht über dem ersten und dem zweiten Kanalbereich und eine erste und eine zweite Gateelektrodenschicht, die über der ersten und der zweiten Gatedielektrikumsschicht ausgebildet sind. Die erste und die zweite Gatestruktur erstrecken sich entlang einer ersten Richtung. Die erste Gatestruktur und die zweite Gatestruktur sind durch einen Trennstecker aus einem isolierenden Material getrennt. Die erste Gateelektrodenschicht steht in Kontakt mit einer Seitenwand des Trennsteckers.

Description

  • TECHNISCHES GEBIET
  • Die Offenbarung betrifft eine integrierte Halbleiterschaltung und insbesondere eine Halbleitervorrichtung mit einer Finnenstruktur und deren Herstellungsverfahren.
  • HINTERGRUND
  • Während die Halbleiterindustrie auf der Suche nach höherer Bauteildichte, höherer Leistungsfähigkeit und niedrigeren Kosten in Nanometertechnologie-Verfahrensknoten vorgedrungen ist, haben Herausforderungen sowohl bei Herstellungs- als auch Designproblemen zu der Entwicklung von dreidimensionalen Designs geführt, beispielsweise Fin-Feldeffekttransistoren (FinFETs). FinFET-Vorrichtungen umfassen üblicherweise Halbleiterfinnen oder -grate mit hohem Seitenverhältnis, in denen Kanal- und Source/Drain-Bereiche von Halbleitertransistorvorrichtungen ausgebildet werden. Ein Gate ist entlang den Seiten der Finnenstrukturen und über ihnen (z. B. sie umgebend) ausgebildet, wobei der Vorteil einer erhöhten Oberfläche der Kanal- und Source/Drain-Bereiche genutzt wird, um schnellere, zuverlässigere und besser steuerbare Halbleitertransistorvorrichtungen herzustellen. Eine Metallgatestruktur zusammen mit einem High-k-Gatedielektrikum, das eine hohe Dielektrizitätskonstante hat, wird oft in FinFET-Vorrichtung verwendet und durch eine Gate-Ersatz-Technik hergestellt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Offenbarung wird am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Beschreibung dienen. Tatsächlich können die Abmessungen der verschiedenen Elemente zur Klarheit der Diskussion beliebig vergrößert oder verkleinert werden.
  • 1 bis 9E zeigen beispielhafte sequenzielle Verfahren zur Herstellung einer FET-Vorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 10A und 10B zeigen eine beispielhafte Struktur einer FET-Vorrichtung gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung.
  • 11A und 11B zeigen eine beispielhafte Struktur einer FET-Vorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele vorsieht, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Ausführungsformen oder Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Abmessungen der Elemente sind beispielsweise nicht auf offenbarte Bereiche oder Werte beschränkt, sondern können von Verfahrensbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängen. Weiter kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung beispielsweise Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Verschiedene Elemente können der Einfachheit und Klarheit halber in verschiedenen Maßstäben gezeichnet sein.
  • Weiter können räumlich relative Begriffe, wie „unten”, „unter”, „unterer”, „über”, „oberer” und ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder eines Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden. Zusätzlich kann der Begriff „hergestellt aus” entweder ”umfassend” oder ”bestehend aus” bedeuten.
  • 1 bis 9E zeigen Schnittansichten und/oder Draufsichten von beispielhaften sequenziellen Verfahren zur Herstellung der FinFET-Vorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach den Vorgängen vorgesehen sein können, die in den 1 bis 9E gezeigt sind, und dass einige der unten beschriebenen Vorgänge für zusätzliche Ausführungsformen des Verfahrens ersetzt oder entfernt werden können. Die Reihenfolge der Vorgänge/Verfahren kann vertauscht werden.
  • 1 zeigt eine beispielhafte Schnittansicht, in der Finnenstrukturen 20 über einem Substrat 10 ausgebildet werden. Um eine Finnenstruktur herzustellen, wird eine Maskenschicht über dem Substrat (z. B. einem Halbleiterwafer) beispielsweise durch ein thermisches Oxidationsverfahren und/oder ein chemisches Dampfabscheidungsverfahren (CVD) ausgebildet. Das Substrat ist beispielsweise ein p-Typ-Siliziumsubstrat mit einer Verunreinigungskonzentration im Bereich zwischen etwa 1·1015 cm–3 und etwa 5·1015 cm–3. In anderen Ausführungsformen ist das Substrat ein n-Typ-Siliziumsubstrat mit einer Verunreinigungskonzentration im Bereich zwischen etwa 1·1015 cm–3 und etwa 5·1015 cm–3.
  • Alternativ kann das Substrat 10 andere elementare Halbleiter umfassen, beispielsweise Germanium; einen Verbindungshalbleiter, der IV-IV-Verbindungshalbleiter wie SiC und SiGe, III-V-Verbindungshalbleiter wie GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, und/oder GaInAsP; oder Kombinationen davon aufweist. In einer Ausführungsform ist das Substrat 10 eine Siliziumschicht eines SOI-(Silizium-auf-Isolator)-Substrats. Wenn ein SOI-Substrat verwendet wird, kann die Finnenstruktur aus der Siliziumschicht des SOI-Substrats oder aus der Isolierschicht des SOI-Substrats herausragen. Im zweiten Fall wird die Siliziumschicht des SOI-Substrats verwendet, um die Finnenstruktur auszubilden. Amorphe Substrate, beispielsweise amorphes Si oder amorphes SiC, oder isolierendes Material wie Siliziumoxid können auch als Substrat 10 verwendet werden. Das Substrat 10 kann verschiedene Bereiche umfassen, die geeignet mit Verunreinigungen dotiert wurden (z. B. der p-Typ- oder n-Typ-Leitfähigkeit).
  • Die Maskenschicht umfasst in einigen Ausführungsformen beispielsweise eine Pad-Oxid-Schicht (beispielsweise Siliziumdioxid) und eine Siliziumnitrid-Maskenschicht. Die Pad-Oxid-Schicht kann durch Verwendung von thermischer Oxidation oder eines CVD-Verfahrens ausgebildet werden. Die Siliziumnitrid-Maskenschicht kann durch physikalische Dampfabscheidung (PVD), etwa einem Sputterverfahren, CVD, plasmaverstärkte chemische Dampfabscheidung (PECVD), chemische Dampfabscheidung bei Atmosphärendruck (APCVD), Niederdruck-CVD (LPCVD), Hochdichtes-Plasma-CVD (HDPCVD), Atomlagenabscheidung (ALD) und/oder andere Verfahren ausgebildet werden.
  • Die Dicke der Pad-Oxid-Schicht liegt in einigen Ausführungsformen im Bereich von etwa 2 nm bis etwa 15 nm und die Dicke der Siliziumnitrid-Maskenschicht im Bereich von etwa 2 nm bis etwa 50 nm. Eine Maskenstruktur wird weiter über der Maskenschicht ausgebildet. Die Maskenstruktur ist beispielsweise eine Photoresiststruktur, die durch Photolithographie ausgebildet wird.
  • Indem die Maskenstruktur als Ätzmaske verwendet wird, wird eine Hartmaskenstruktur 100 der Pad-Oxid-Schicht 106 und der Siliziumnitrid-Maskenschicht 107 ausgebildet.
  • Indem die Hartmaskenstruktur als Ätzmaske verwendet wird, wird das Substrat durch Grabenätzen unter Verwendung eines Trockenätzverfahrens und/oder eines Nassätzverfahrens in Finnenstrukturen 20 strukturiert.
  • Die Finnenstrukturen 20, die über dem Substrat 10 angeordnet sind, sind in einigen Ausführungsformen aus dem gleichen Material wie das Substrat 10 hergestellt und erstrecken sich durchgehend von dem Substrat 10. Die Finnenstrukturen 20 können intrinsisch oder geeignet mit einer n-Typ-Verunreinigung oder einer p-Typ-Verunreinigung dotiert sein.
  • In 1 werden vier Finnenstrukturen 20 angeordnet. Diese Finnenstrukturen werden für einen p-Typ-FinFET und/oder einen n-Typ-FinFET verwendet. Die Anzahl der Finnenstrukturen ist nicht auf vier beschränkt. Die Anzahl kann nur eins oder größer als vier sein. Zusätzlich können eine oder mehrere Dummy-Finnenstrukturen angrenzend an beide Seiten der Finnenstrukturen 20 angeordnet werden, um die Strukturtreue in Strukturierungsverfahren zu verbessern. Die Breite W1 der Finnenstrukturen 20 liegt im Bereich zwischen etwa 5 nm und etwa 40 nm in einigen Ausführungsformen und im Bereich zwischen etwa 7 nm und etwa 20 nm in bestimmten Ausführungsformen. Die Höhe H1 der Finnenstrukturen 20 liegt im Bereich zwischen etwa 100 nm und etwa 300 nm in einigen Ausführungsformen und im Bereich zwischen etwa 50 nm und etwa 100 nm in anderen Ausführungsformen. Wenn die Höhe der Finnenstrukturen nicht einheitlich ist, kann die Höhe ab dem Substrat von der Ebene aus gemessen werden, die der durchschnittlichen Höhe der Finnenstrukturen entspricht.
  • Wie in 2 gezeigt, wird eine Isoliermaterialschicht 50 zum Ausbilden einer Trennisolierschicht über dem Substrat 10 so ausgebildet, dass sie die Finnenstrukturen 20 vollständig bedeckt.
  • Das Isoliermaterial für die Trennisolierschicht 50 ist beispielsweise aus Siliziumdioxid hergestellt, das durch LPCVD (chemische Dampfabscheidung bei Niederdruck), Plasma-CVD oder fließfähige CVD ausgebildet wird. Bei fließfähiger CVD werden fließfähige dielektrische Materialien anstelle von Siliziumoxid abgeschieden. Fließfähige dielektrische Materialien können, wie der Name schon sagt, während des Abscheidens „fließen”, um Lücken oder Räume mit hohem Seitenverhältnis zu füllen. Üblicherweise werden verschiedene Stoffe zu siliziumhaltigen Vorläufern hinzugefügt, um den abgeschiedenen Film fließen zu lassen. In einigen Ausführungsformen werden Stickstoffhydridbindungen hinzugefügt. Beispiele für fließfähige dielektrische Vorläufer, insbesondere fließfähige Siliziumoxid-Vorläufer, umfassen Silikat, Siloxan, Methylsilsesquioxan (MSQ), Hydrogensilsesquioxan (HSQ), MSQ/HSQ, Perhydrosilazan (TCPS), Perhydropolysilazan (PSZ), Tetraethylorthosilikat (TEOS) oder ein Silylamin, etwa Trisilylamin (TSA). Diese fließfähigen Siliziumoxid-Materialien werden in einem Mehrschrittverfahren ausgebildet. Nachdem der fließfähige Film abgeschieden wurde, wird er ausgehärtet und dann geglüht, um unerwünschte Element(e) zu entfernen, um Siliziumoxid ausbilden. Wenn die unerwünschten Element(e) entfernt wurden, verdichtet sich der fließfähige Film und schrumpft. In einigen Ausführungsformen werden mehrere Glühverfahren durchgeführt. Der fließfähige Film wird mehr als einmal ausgehärtet und geglüht. Die Trennisolierschicht 50 kann aus SOG, SiO, SiON, SiOCN oder Fluor-dotiertem Silikatglas (FSG) bestehen. Die Trennisolierschicht 50 kann mit Bor und/oder Phosphor dotiert sein.
  • Nachdem die Trennisolierschicht 50 ausgebildet wurde, wird ein Planarisierungsvorgang durchgeführt, um einen oberen Teil der Trennisolierschicht 50 und der Maskenschicht 100 zu entfernen, der die Pad-Oxidschicht 106 und die Siliziumnitrid-Maskenschicht 107 umfasst. Dann wird die Trennisolierschicht 50 weiter entfernt, so dass ein oberer Teil der Finnenstrukturen 20 freigelegt wird, der ein Kanalbereich werden soll, wie in 3 gezeigt ist.
  • Nach dem Ausbilden der Trennisolierschicht 50 wird ein thermisches Verfahren, beispielsweise ein Glühverfahren wahlweise durchgeführt, um die Qualität der Trennisolierschicht 50 zu verbessern. In bestimmten Ausführungsformen wird das thermische Verfahren durchgeführt, indem Kurzzeittempern (RTA) bei einer Temperatur in einem Bereich von etwa 900°C bis etwa 1050°C für etwa 1,5 Sekunden bis etwa 10 Sekunden in einer Inertgasumgebung durchgeführt wird, beispielsweise einer N2-, Ar- oder He-Umgebung.
  • Nachdem die oberen Abschnitte der Finnenstrukturen 20 von der Trennisolierschicht 50 freigelegt wurden, werden eine Gateisolierschicht 105 und eine Polysiliziumschicht über der Trennisolierschicht 50 und den freigelegten Finnenstrukturen 20 ausgebildet und dann Strukturierungsvorgänge durchgeführt, um eine Gateschicht 110 zu erhalten, die aus Polysilizium hergestellt ist, wie in 4A bis 4D gezeigt ist. Die Gateisolierschicht 105 kann aus Siliziumoxid bestehen, das durch CVD, PVD, ALD, Elektronenstrahlverdampfung oder andere geeignete Verfahren ausgebildet wird. Eine Dicke der Polysiliziumschicht liegt in einigen Ausführungsformen im Bereich von etwa 5 nm bis etwa 100 nm. Bei der in dieser Ausführungsform beschriebenen Gate-Ersatztechnik sind sowohl die Gateisolierschicht 105 als auch die Gateschicht 100 Dummy-Schichten, die später entfernt werden.
  • Nach dem Strukturieren der Polysiliziumschicht werden auch Seitenwand-Isolierschichten 80 (Seitenwandabstandshalter) auf beiden Seitenflächen der Gateschicht 110 ausgebildet. Die Seitenwand-Isolierschichten 80 sind aus einer oder mehreren Schichten aus Siliziumoxid- oder Siliziumnitrid-basierten Materialien wie SiN, SiON, SiCN oder SiOCN hergestellt. In einer Ausführungsform wird Siliziumnitrid verwendet.
  • Nachdem die Seitenwand-Isolierschichten 80 ausgebildet wurden, kann eine Isolierschicht (nicht gezeigt), die als Kontaktätzstoppschicht (CESL) verwendet wird, wahlweise über der Polysiliziumschicht 110 und der Seitenwand-Isolierschicht 80 ausgebildet werden. Die CESL-Schicht kann aus einer oder mehreren Schichten aus Siliziumoxid- oder Siliziumnitrid-basierten Materialien wie SiN, SiON, SiCN oder SiOCN hergestellt sein. In einer Ausführungsform wird Siliziumnitrid verwendet.
  • Ferner wird eine dielektrische Zwischenschicht (IDL) 70 in Räumen zwischen den Gateschichten 110 mit den Seitenwand-Isolierschichten 80 (und der CESL, wenn ausgebildet) und über der Gateschicht 110 ausgebildet. Die ILD 70 kann Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid (SiON), SiOCN, Fluor-dotiertes Silikatglas (FSG) oder ein low-k-dielektrisches Material umfassen und durch CVD oder ein anderes geeignetes Verfahren hergestellt werden. Das Isoliermaterial für die Trennisolierschicht 50 kann das gleiche wie das für die ILD 70 sein.
  • Planarisierungsvorgänge wie beispielsweise ein Rückätzverfahren und/oder ein chemisch-mechanisches Polier-(CMP)-Verfahren werden durchgeführt, um die in 4A bis 4D gezeigte Struktur zu erhalten. 4 ist eine Draufsicht und 4B eine perspektivische Ansicht der FinFET-Vorrichtung, nachdem die Gateschicht 110 und die dielektrische Zwischenschicht 70 ausgebildet wurden. 1 bis 3 und 4C entsprechen Schnittansichten entlang der Linie X1-X1 in 4A, 4D entspricht einer Schnittansicht entlang der Linie Y1-Y1 in 4A und 4B entspricht dem umschlossenen Abschnitt B1 in 4B.
  • Wie in 4A und 4B gezeigt, sind die Gateschichten 110 in einer Linie-Zwischenraum-Anordnung ausgebildet, die sich in einer Richtung (X-Richtung) mit einem konstanten Abstand erstreckt. Die Gateschichten 110 können eine andere Linie-Zwischenraum-Anordnung in einer anderen Richtung (Y-Richtung) senkrecht zu der einen Richtung und eine andere Linie-Zwischenraum-Anordnung mit anderen Abmessungen aufweisen.
  • Die Gateschichten 110 bedecken die Kanalbereiche der FinFETs, die mit den Finnenstrukturen 20 ausgebildet werden. Mit anderen Worten werden die Gateschichten 110 über den Kanalbereichen ausgebildet. Die Finnenstrukturen, die nicht von den Gateschichten bedeckt sind, werden durch geeignete Source/Drain-Herstellungsvorgänge zu Source/Drain-Bereichen.
  • Als nächstes werden, wie in 5A bis 5C gezeigt, nach dem Planarisierungsvorgang zum Freilegen der oberen Fläche der Gateschichten 110, die Gateschichten 110 und die Gateisolierschicht 105 (d. h. die Dummy-Schichten) entfernt, wobei Trockenätzen und/oder Nassätzen verwendet wird, wodurch eine Gateleitungsöffnung 120 ausgebildet wird, wie in 5A bis 5C gezeigt ist.
  • Als nächstes werden, wie in 6A bis 6D gezeigt, Metallgatestrukturen, die eine Gatedielektrikumsschicht 130 und eine Metallgate-Elektrodenschicht 140 umfassen, in der Gateleitungsöffnung 120 ausgebildet. 6D ist eine vergrößerte Ansicht des Bereichs B2 von 6B.
  • In bestimmten Ausführungsformen umfasst die Gatedielektrikumsschicht 130 eine Grenzschicht 132, die aus Siliziumoxid und einer oder mehreren Schichten aus dielektrischem Material 134 wie Siliziumoxid, Siliziumnitrid oder high-k-dielektrischem Material, anderen geeigneten dielektrischen Materialien und/oder Kombinationen davon hergestellt ist. Beispiele für high-k-dielektrische Materialien umfassen HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Titanoxid, Hafniumdioxid-Aluminiumoxid-(HfO2-Al2O3)-Legierung, andere geeignete high-k-dielektrische Materialien und/oder Kombinationen daraus. Die Grenzschicht 132 wird beispielsweise durch thermische Oxidation des Kanalbereichs der Finnenstruktur 20 ausgebildet. Die Schicht aus dielektrischem Material 134 wird durch CVD oder ALD über den Kanalbereichen der Finnenstrukturen und der oberen Fläche der Trennisolierschicht 50 ausgebildet.
  • In bestimmten Ausführungsformen umfasst die Metallgate-Elektrodenschicht 140 darunterliegende Schichten, etwa eine Sperrschicht 142, eine Austrittsarbeit-Einstellungsschicht 144 und eine Klebe-(oder Haft-)Schicht 146 und eine Hauptmetallschicht 148, in dieser Reihenfolge gestapelt, wie in 6D gezeigt ist.
  • Obwohl der obere Abschnitt des Kanalbereichs der Finnenstrukturen 20 zur Beschreibung in 6B so gezeigt ist, dass er eine rechteckige Form (rechte Winkel) hat, hat der obere Abschnitt des Kanalbereichs der Finnenstrukturen 20 im Allgemeinen eine runde Form, wie in 6D gezeigt ist.
  • Die Sperrschicht 142 ist beispielsweise aus TiN, TaN, TiAlN, TaCN, TaC oder TaSiN hergestellt. In einer Ausführungsform wird TaN verwendet.
  • Die Austrittsarbeits-Einstellschicht 144 ist aus einem leitfähigen Material wie einer einzelnen Schicht aus TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi oder TiAlC oder einer Mehrfachschicht aus zwei oder mehr dieser Materialien hergestellt. Für den n-Kanal-FET wird eines oder mehrere von TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi und TaSi als Austrittsarbeits-Einstellschicht und für den p-Kanal-FET eines oder mehrere von TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC und Co als Austrittsarbeits-Einstellschicht verwendet. Die Austrittsarbeits-Einstellschicht kann durch ALD, PVD, CVD, Elektronenstrahlverdampfung oder andere geeignete Verfahren ausgebildet werden. Weiter kann die Austrittsarbeits-Einstellschicht getrennt für den n-Kanal-FinFET und den p-Kanal-FinFET ausgebildet werden, die verschiedene Metallschichten verwenden können.
  • Die Klebeschicht 146 ist beispielsweise aus TiN, TaN, TiAlN, TaCN, TaC oder TaSiN hergestellt. In einer Ausführungsform wird TiN verwendet.
  • Die Hauptmetallschicht 148 umfasst eine oder mehrere Schichten aus einem geeigneten Metallmaterial, beispielsweise Aluminium, Kupfer, Titan, Tantal, Wolfram, Kobalt, Molybdän, Tantalnitrid, Nickelsilizid, Kobaltsilizid, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, Metalllegierungen, anderen geeigneten Materialien und/oder Kombinationen davon.
  • Beim Ausbilden der Metallgatestrukturen werden die Gatedielektrikumsschicht 130 und die Gateelektrodenschicht 140 durch ein geeignetes Schichtausbildungsverfahren ausgebildet, beispielsweise CVD oder ALD für die Gatedielektrikumsschicht und CVD, PVD, ALD oder Galvanisieren für die Metallschichten, und dann wird ein Planarisierungsvorgang wie CMP durchgeführt.
  • Nachdem die Metallgatestrukturen ausgebildet wurden, wird eine Maskenstruktur 150 über der Anordnung von 6A bis 6D ausgebildet. 7A ist eine Schnittansicht, die zu der Linie X1-X1 in 7C entspricht, und 7B eine Perspektivansicht des Bereichs, der zu dem Bereich B1 von 4A gehört, und 7C eine Draufsicht.
  • Die Maskenstruktur 150 wird beispielsweise durch ein Material ausgebildet, das eine hohe Ätzselektivität gegenüber den Metallmaterialien aufweist, die die Metallgatestruktur bilden. In einer Ausführungsform ist die Maskenstruktur 150 aus Siliziumoxid oder Siliziumnitrid hergestellt. Die Maskenstruktur 150 weist eine Öffnung 155 auf. Eine Breite der Öffnung 155 entlang der X-Richtung liegt in einigen Ausführungsformen im Bereich von etwa 5 nm bis etwa 100 nm und im Bereich von etwa 10 nm bis etwa 30 nm in anderen Ausführungsformen. Die Breite W2 der Öffnung 155 entlang der Y-Richtung ist so eingestellt, dass sie eine gewünschte Anzahl von Gatestrukturen freilegt. In 7C hat die Breite W2 der Öffnung 155 entlang der Y-Richtung eine solche Länge, dass zwei Gatestrukturen in der Öffnung 155 freigelegt und die Ränder der Öffnung in Y-Richtung zwischen benachbarten Gatestrukturen über der ILD 70 angeordnet sind.
  • Wie in 8A bis 8C gezeigt, wird unter Verwendung der Maskenstruktur 150 als Ätzmaske ein Teil der Metallgateschicht 140 und der Gatedielektrikumsschicht 130 entfernt, um eine Öffnung 160 zu erhalten, die die Gatestruktur teilt. Das Ätzen der Gateschicht wird durch Plasmaätzen durchgeführt.
  • Wie in 8A gezeigt, wird die Metallgate-Elektrodenschicht 140 in der Öffnung 160 entlang der Richtung Y freigelegt, und wie in 8B gezeigt, wird die Öffnung 160 entlang der X-Richtung durch die Gatedielektrikumsschicht 130 gebildet.
  • In einigen Ausführungsformen wird, wie in 8A bis 8C gezeigt, die Gatedielektrikumsschicht 130 vollständig von dem Boden der Öffnung 160 entfernt. Weiter kann die Gatedielektrikumsschicht 130 auch vollständig in der Öffnung 160 entfernt werden, so dass kein Teil der dielektrischen Schicht in der Öffnung 160 verbleibt. In anderen Ausführungsformen bleibt die Gatedielektrikumsschicht 130 am Boden der Öffnung 160.
  • Man beachte, dass die Schnittansicht der Öffnung 160 in 8B eine rechtwinklige Form hat, aber in einigen Ausführungsformen die Öffnung 160 eine abgeschrägte Form hat, die oben größer und unten kleiner ist.
  • Dann wird, wie in 9A bis 9E gezeigt, ein Trennstecker 170 in der Öffnung 160 ausgebildet. 9A ist eine Draufsicht, 9B eine Schnittansicht der Linie X1-X1 von 9A und 9C eine Schnittansicht der Linie Y1-Y1 von 9A. 9D ist eine Perspektivansicht und 9E eine vergrößerte Ansicht des Bereichs B3 von 9B.
  • Um den Trennstecker 170 auszubilden, wird eine durchgehende Schicht eines Isoliermaterials in die Öffnung 160 gefüllt und über der Gateelektrode 140 und der ILD 70 ausgebildet, indem CVD oder ALD verwendet wird, und dann ein Planarisierungsvorgang wie CMP durchgeführt. Hier wird das CMP durchgeführt, um die obere Fläche der Metallgate-Elektrodenschichten 140 freizulegen, wie in 9A und 9B gezeigt ist. Mit anderen Worten dienen die Metallgate-Elektrodenschichten 140 als Stopper für das CMP-Verfahren. Durch diesen Planarisierungsvorgang wird ein Trennstecker 170 ausgebildet.
  • Der Trennstecker 170 ist beispielsweise aus Siliziumoxid- oder Siliziumnitrid-basiertem Material wie SiN, SiON, SiCN oder SiOCN hergestellt.
  • In der vorliegenden Ausführungsform werden, nachdem die Gatedielektrikumsschicht 130 und die Metallgate-Elektrodenschicht 140 ausgebildet wurden, die Öffnung 160 und der Trennstecker 170 ausgebildet. Daher steht, wie in 9E gezeigt, die Hauptmetallschicht 148 in Kontakt mit der Seitenwand des Trennsteckers. Weiter liegt der oberste Teil der Gatedielektrikumsschicht 130 entlang der Y-Richtung über der Finnenstruktur 20 und der oberste Teil der darunter liegenden Schichten 142, 144 und 146 der Metallgate-Elektrodenschicht 140 entlang der Y-Richtung auch über der Finnenstruktur 20. Entlang der X-Richtung haben die Metallgate-Elektrodenschicht 140 und die Gatedielektrikumsschicht die gleiche Höhe.
  • In der vorstehenden Ausführungsform ist die Gatestruktur in zwei Metallgate-Elektrodenschichten 140 geteilt, die jeweils eine Gatedielektrikumsschicht 130 aufweisen, wie in 7A bis 8C gezeigt ist. In anderen Ausführungsformen wird die Metallgatestruktur jedoch durch die Strukturierungsvorgänge in mehr als zwei Gateelektrodenschichten aufgeteilt. In diesem Fall sind, wie in 10A gezeigt, mehrere Metallgatestrukturen, die jeweils die Metallgate-Elektrodenschicht 140 und die Gatedielektrikumsschicht 130 umfassen, an einander ausgerichtet und durch Trennstecker 170 getrennt.
  • Ferner weist die Metallgatestruktur vor dem Trennvorgang zwei Enden in Längsrichtung auf. In einigen Ausführungsformen ist der Trennstecker 170 in mindestens einem dieser Enden ausgebildet, wie in Bereich B3 von 10A gezeigt ist. In diesem Fall ist die geteilte Gatestruktur, die die Metallgate-Elektrodenschicht 140 und die Gatedielektrikumsschicht 130 umfasst, zwischen zwei Trennsteckern 170 angeordnet.
  • In anderen Ausführungsformen ist der Trennstecker 170 nicht in mindestens einem dieser Enden ausgebildet, wie in Bereich B4 von 10A gezeigt ist. In diesem Fall weist ein Ende der Gatestruktur, die die Metallgate-Elektrodenschicht 140 und die Gatedielektrikumsschicht 130 umfasst, einen Trennstecker 170 und das andere Ende der Gatestruktur die Struktur auf, die in 10B gezeigt ist. 10B ist eine Schnittansicht der Linie B5 von 10A. Wie in 10B gezeigt, steht die Gatestruktur, insbesondere die Gatedielektrikumsschicht 130, in Kontakt mit der ILD 70 und die Metallgate-Elektrodenschicht 140, insbesondere die Haupt-Metallgate-Elektrodenschicht 148, nicht in Kontakt mit der ILD 70.
  • Wenn der Trennstecker zuerst durch Teilen der Dummy-Gateelektrode und Füllen einer Öffnung zwischen der geteilten Dummy-Gateelektrode ausgebildet wird und dann die Räume mit Metallgatematerial gefüllt werden, die durch Entfernen der geteilten Dummy-Gateelektrode ausgebildet wurden, werden die Gatedielektrikumsschicht und darunter liegende Metallschichten wie beispielsweise eine Sperrschicht, eine Austrittsarbeits-Einstellungsschicht und eine Klebeschicht auf der Seitenfläche des Trennsteckers ausgebildet. In diesem Fall kann der Abstand D1 zwischen dem Trennstecker und der Finnenstruktur, wie in 9E gezeigt ist, nicht zu klein eingestellt werden, da ein kleinerer Abstand D1 verhindern kann, dass die Hauptmetallschicht 148 den Raum zwischen dem Trennstecker und der Finnenstruktur vollständig füllt.
  • Im Gegensatz dazu kann in der vorliegenden Ausführungsform, da keine Gatedielektrikumsschicht und keine darunter liegenden Metallschichten auf der Seitenfläche des Trennsteckers ausgebildet werden, selbst wenn der Abstand D1 kleiner wird, die Hauptmetallschicht 148 den Raum zwischen dem Trennstecker 170 und der Firmenstruktur 20 vollständig füllen. So ist es möglich, die Halbleitervorrichtung zu verkleinern.
  • In einer weiteren Ausführungsform ist eine Gateisolierschicht 105 keine Dummy-Schicht und ist aus einem dielektrischen Material hergestellt, das schließlich in der FET-Vorrichtung verwendet wird. In diesem Fall kann ein high-k-dielektrisches Material, wie es oben beschrieben ist, verwendet werden. Wenn die Gateisolierschicht 105 keine Dummy-Schicht ist, wird die Gatedielektrikumsschicht 130 nicht abgeschieden, bevor die Metallgate-Elektrodenschicht 140 ausgebildet wird.
  • Es versteht sich, dass die in 9A bis 9E gezeigte Struktur weiteren CMOS-Verfahren unterzogen wird, um verschiedene Elemente wie Verbindungsdurchkontaktierungen, Verbindungsmetallschichten, Passivierungsschichten etc. auszubilden.
  • In den obigen Ausführungsformen wird ein FinFET verwendet. Die vorstehenden Technologien können jedoch auf einen planaren FET angewendet werden, wie in 11A und 11B gezeigt ist. Wie in 11A und 11B gezeigt, umfasst der FET einen Kanalbereich 25 eines Halbleitersubstrats und eine Gatestruktur, die eine Gatedielektrikumsschicht 130', die über dem Kanalbereich 25 ausgebildet ist, und eine Metallgate-Elektrodenschicht 140' umfasst, die über der Gatedielektrikumsschicht 130' ausgebildet ist. Die Gatedielektrikumsschicht 130' umfasst eine Grenzschicht 132' und eine oder mehrere Schichten aus dielektrischem Material 134', ähnlich wie die Gatedielektrikumsschicht 130. Die Metallgate-Elektrodenschicht 140' umfasst eine Sperrschicht 142', eine Austrittsarbeit-Einstellungsschicht 144' und eine Klebe-(oder Haft-)Schicht 146' und eine Hauptmetallschicht 148', in dieser Reihenfolge gestapelt, ähnlich wie die Metallgate-Elektrodenschicht 140. Die Kanalbereiche sind durch die Trennisolierschichten 50 getrennt und zwei Gatestrukturen sind durch einen Trennstecker 170 getrennt.
  • Die verschiedenen hier beschriebenen Ausführungsformen oder Beispiele bieten mehrere Vorteile gegenüber dem Stand der Technik. Da beispielsweise keine Gatedielektrikumsschicht und keine darunter liegenden Metallschichten auf der Seitenfläche des Trennsteckers ausgebildet werden, kann die Breite der Gatelücke in Y-Richtung, die mit Metallgatematerialien gefüllt werden soll, größer werden. Durch die vergrößerte Gateöffnung können Metallgatematerialien wie ein Metallgate-Elektrodenmaterial vollständig in die Öffnung gefüllt werden, ohne dass sich Hohlräume bilden. Dies wiederum verkleinert den Abstand zwischen dem Trennstecker und der Finnenstruktur und die Halbleitervorrichtung kann verkleinert werden.
  • Es versteht sich, dass nicht alle Vorteile notwendigerweise hier beschrieben wurden, kein bestimmter Vorteil bei allen Ausführungsformen oder Beispielen erforderlich ist und andere Ausführungsformen oder Beispiele andere Vorteile bieten können.
  • In Übereinstimmung mit einem Aspekt der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung einen ersten Fin-Feldeffekttransistor (FinFET) und einen zweiten FinFET. Der erste FinFET umfasst eine erste Finnenstruktur, die sich in einer ersten Richtung erstreckt, und eine erste Gatestruktur. Die erste Gatestruktur umfasst eine erste Gatedielektrikumsschicht, die über der ersten Finnenstruktur ausgebildet ist, und eine erste Gateelektrodenschicht, die über der ersten Gatedielektrikumsschicht ausgebildet ist, und erstreckt sich in einer zweiten Richtung senkrecht zu der ersten Richtung. Der zweite FinFET umfasst eine zweite Finnenstruktur, die sich in der ersten Richtung erstreckt, und eine zweite Gatestruktur. Die zweite Gatestruktur umfasst eine zweite Gatedielektrikumsschicht, die über der zweiten Finnenstruktur ausgebildet ist, und eine zweite Gateelektrodenschicht, die über der ersten Gatedielektrikumsschicht ausgebildet ist, und erstreckt sich in der zweiten Richtung. Die erste Gatestruktur und die zweite Gatestruktur sind entlang der zweiten Richtung ausgerichtet. Die erste Gatestruktur und die zweite Gatestruktur sind durch einen Trennstecker aus einem isolierenden Material getrennt. Die erste Gateelektrodenschicht steht in Kontakt mit einer Seitenwand des Trennsteckers.
  • In Übereinstimmung mit einem weiteren Aspekt der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung einen ersten Fin-Feldeffekttransistor (FET) und einen zweiten FET. Der erste FET umfasst einen ersten Kanalbereich eines Halbleitersubstrats und eine erste Gatestruktur. Die erste Gatestruktur umfasst eine erste Gatedielektrikumsschicht, die über dem ersten Kanalbereich ausgebildet ist, und eine erste Gateelektrodenschicht, die über der ersten Gatedielektrikumsschicht ausgebildet ist, und erstreckt sich in einer ersten Richtung. Der zweite FET umfasst einen zweiten Kanalbereich des Halbleitersubstrats und eine zweite Gatestruktur. Die zweite Gatestruktur umfasst eine zweite Gatedielektrikumsschicht, die über dem zweiten Kanalbereich ausgebildet ist, und eine zweite Gateelektrodenschicht, die über der zweiten Gatedielektrikumsschicht ausgebildet ist, und erstreckt sich in der ersten Richtung. Die erste Gatestruktur und die zweite Gatestruktur sind entlang der ersten Richtung ausgerichtet. Die erste Gatestruktur und die zweite Gatestruktur sind durch einen Trennstecker aus einem isolierenden Material getrennt. Die erste Gateelektrodenschicht steht in Kontakt mit einer Seitenwand des Trennsteckers.
  • In Übereinstimmung mit einem anderen Aspekt der vorliegenden Offenbarung umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung das Ausbilden einer Dummy-Gatestruktur über Kanalbereichen, die über einem Substrat ausgebildet sind. Die Dummy-Gatestruktur umfasst eine Dummy-Gateelektrodenschicht. Dielektrische Zwischenschichten werden auf beiden Seiten der Dummy-Gatestruktur ausgebildet. Nach dem Ausbilden der dielektrischen Zwischenschicht wird die Dummy-Gateelektrodenschicht entfernt, so dass ein Elektrodenraum ausgebildet wird. Eine Gatestruktur wird in dem Elektrodenraum ausgebildet. Die Gatestruktur umfasst eine Gateelektrodenschicht. Die Gatestruktur wird so strukturiert, dass die Gatestruktur in mindestens zwei getrennte Gatestrukturen geteilt ist, die eine erste Gatestruktur und eine zweite Gatestruktur umfassen, die durch eine Öffnung getrennt sind. Ein Trennstecker wird durch Füllen der Öffnung mit einem isolierenden Material ausgebildet. Die Gateelektrodenschicht in der ersten Gatestruktur steht in Kontakt mit einer Seitenwand des Trennsteckers.
  • Das Vorangegangene beschreibt Elemente von mehreren Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Halbleitervorrichtung, umfassend: einen ersten Fin-Feldeffekttransistor (FinFET), der eine erste Finnenstruktur, die sich in einer ersten Richtung erstreckt, und eine erste Gatestruktur umfasst, wobei die erste Gatestruktur eine erste Gatedielektrikumsschicht, die über der ersten Finnenstruktur ausgebildet ist, und eine erste Gateelektrodenschicht umfasst, die über der ersten Gatedielektrikumsschicht ausgebildet ist, und sich in einer zweiten Richtung senkrecht zu der ersten Richtung erstreckt; und einen zweiten FinFET, der eine zweite Finnenstruktur, die sich in der ersten Richtung erstreckt, und eine zweite Gatestruktur umfasst, wobei die zweite Gatestruktur eine zweite Gatedielektrikumsschicht, die über der zweiten Finnenstruktur ausgebildet ist, und eine zweite Gateelektrodenschicht umfasst, die über der zweiten Gatedielektrikumsschicht ausgebildet ist, und sich in einer zweiten Richtung erstreckt, wobei: die erste Gatestruktur und die zweite Gatestruktur entlang der zweiten Richtung ausgerichtet sind, die erste Gatestruktur und die zweite Gatestruktur durch einen Trennstecker aus einem isolierenden Material getrennt sind und die erste Gateelektrodenschicht in Kontakt mit einer Seitenwand des Trennsteckers steht.
  2. Halbleitervorrichtung nach Anspruch 1, wobei: die erste Gateelektrodenschicht darunterliegende Schichten und eine Haupt-Metallelektrodenschicht umfasst, die über der ersten Finnenstruktur ausgebildet sind, und die Haupt-Metallelektrodenschicht in Kontakt mit der Seitenwand des Trennsteckers steht.
  3. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei oberste Abschnitte der darunterliegenden Schichten entlang der zweiten Richtung über der ersten Finnenstruktur liegen.
  4. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei: die zweite Gateelektrodenschicht darunterliegende Schichten und eine Haupt-Metallelektrodenschicht umfasst, die über der zweiten Finnenstruktur ausgebildet sind, und die Haupt-Metallelektrodenschicht der zweiten Gateelektrodenschicht in Kontakt mit einer Seitenwand des Trennsteckers steht.
  5. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei ein oberster Teil der ersten Gatedielektrikumsschicht entlang der zweiten Richtung über der ersten Finnenstruktur liegt.
  6. Halbleitervorrichtung nach Anspruch 1, wobei der Trennstecker aus Siliziumnitrid-basiertem Material hergestellt sind.
  7. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei: die erste Gatestruktur ein erstes Ende und ein zweites Ende aufweist, der Trennstecker an dem ersten Ende vorgesehen ist und ein weiterer Trennstecker an dem zweiten Ende vorgesehen ist.
  8. Halbleitervorrichtung nach einem der Ansprüche 1 bis 6, wobei: die erste Gatestruktur ein erstes Ende und ein zweites Ende aufweist, der Trennstecker an dem ersten Ende vorgesehen ist und kein weiterer Trennstecker an dem zweiten Ende vorgesehen ist.
  9. Halbleitervorrichtung nach Anspruch 8, wobei die erste Gateelektrodenschicht an dem zweiten Ende nicht in Kontakt mit einer Seitenwand des weiteren Trennsteckers steht.
  10. Halbleitervorrichtung, umfassend: einen ersten Feldeffekttransistor (FET), der einen ersten Kanalbereich eines Halbleitersubstrats und eine erste Gatestruktur umfasst, wobei die erste Gatestruktur eine erste Gatedielektrikumsschicht, die über dem ersten Kanalbereich ausgebildet ist, und eine erste Gateelektrodenschicht umfasst, die über der ersten Gatedielektrikumsschicht ausgebildet ist, und sich in einer ersten Richtung erstreckt; und einen zweiten FET, der einen zweiten Kanalbereich des Halbleitersubstrats und eine zweite Gatestruktur umfasst, wobei die zweite Gatestruktur eine zweite Gatedielektrikumsschicht, die über dem zweiten Kanalbereich ausgebildet ist, und eine zweite Gateelektrodenschicht umfasst, die über der zweiten Gatedielektrikumsschicht ausgebildet ist, und sich in der ersten Richtung erstreckt, wobei: die erste Gatestruktur und die zweite Gatestruktur entlang der ersten Richtung ausgerichtet sind, die erste Gatestruktur und die zweite Gatestruktur durch einen Trennstecker aus einem isolierenden Material getrennt sind und die erste Gateelektrodenschicht in Kontakt mit einer Seitenwand des Trennsteckers steht.
  11. Halbleitervorrichtung nach Anspruch 10, wobei: die erste Gateelektrodenschicht darunterliegende Schichten und eine Haupt-Metallelektrodenschicht umfasst, die über dem ersten Kanalbereich ausgebildet sind, und die Haupt-Metallelektrodenschicht in Kontakt mit der Seitenwand des Trennsteckers steht.
  12. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Ausbilden einer Dummy-Gatestruktur über Kanalbereichen, die über einem Substrat ausgebildet sind, wobei die Dummy-Gatestruktur eine Dummy-Gateelektrodenschicht umfasst; Ausbilden von dielektrischen Zwischenschichten auf beiden Seiten der Dummy-Gatestruktur; nach dem Ausbilden der dielektrischen Zwischenschichten, Entfernen der Dummy-Gateelektrodenschicht, so dass ein Elektrodenraum ausgebildet wird; Ausbilden einer Gatestruktur in dem Elektrodenraum, wobei die Gatestruktur eine Gateelektrodenschicht umfasst; Strukturieren der Gatestruktur so, dass die Gatestruktur in mindestens zwei getrennte Gatestrukturen geteilt wird, die eine erste Gatestruktur und eine zweite Gatestruktur umfassen, die durch eine Trennöffnung getrennt sind; und Ausbilden eines Trennsteckers durch Füllen der Trennöffnung mit einem isolierenden Material, wobei die Gateelektrodenschicht in der ersten Gatestruktur in Kontakt mit einer Seitenwand des Trennsteckers steht.
  13. Verfahren nach Anspruch 12, wobei bei dem Strukturieren der Gatestruktur die Gatestruktur in drei oder mehr getrennte Gatestrukturen geteilt wird.
  14. Verfahren nach Anspruch 12 oder 13, wobei nach dem Ausbilden der Trennöffnung die Gateelektrodenschicht in der Trennöffnung freigelegt ist.
  15. Verfahren nach einem der Ansprüche 12 bis 14, wobei das Strukturieren der Gatestruktur umfasst: Ausbilden einer Maskenschicht über der Gatestruktur und der dielektrischen Zwischenschicht; Strukturieren der Maskenschicht so, dass eine Öffnungsstruktur ausgebildet wird; und Ätzen eines Teils der Gatestruktur unter der Öffnungsstruktur so, dass die Trennöffnung ausgebildet wird.
  16. Verfahren nach einem der Ansprüche 12 bis 15, wobei: die erste Gateelektrodenschicht darunterliegende Schichten und eine Haupt-Metallelektrodenschicht umfasst, die über den Kanalbereichen ausgebildet sind, und die Haupt-Metallelektrodenschicht in Kontakt mit der Seitenwand des Trennsteckers steht.
  17. Verfahren nach einem der Ansprüche 12 bis 16, wobei: die Dummy-Gatestruktur weiter eine Dummy-Gatedielektrikumsschicht und Seitenwandabstandshalter-Schichten umfasst, die auf beiden Seiten der Dummy-Gateelektrodenschicht angeordnet sind, und der Elektrodenraum ausgebildet wird, indem die Dummy-Gateelektrodenschicht und die Dummy-Gatedielektrikumsschicht entfernt werden.
  18. Verfahren nach Anspruch 17, wobei bei dem Ausbilden einer Gatestruktur in dem Elektrodenraum die Gatestruktur eine Gatedielektrikumsschicht umfasst.
  19. Verfahren nach einem der Ansprüche 12 bis 18, wobei: die Gatestruktur, bevor sie geteilt wird, ein erstes Ende und ein zweites Ende aufweist, die erste Gatestruktur das erste Ende hat, das von dem Trennstecker abgewandt ist, und ein weiterer Trennstecker an dem ersten Ende ausgebildet wird.
  20. Verfahren nach einem der Ansprüche 12 bis 18, wobei: die Gatestruktur, bevor sie geteilt wird, ein erstes Ende und ein zweites Ende aufweist, die erste Gatestruktur das erste Ende hat, das von dem Trennstecker abgewandt ist, und kein weiterer Trennstecker an dem ersten Ende ausgebildet wird.
DE102016115983.2A 2015-10-30 2016-08-28 Halbleiterstruktur und Herstellungsverfahren Pending DE102016115983A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/928,214 2015-10-30
US14/928,214 US9601567B1 (en) 2015-10-30 2015-10-30 Multiple Fin FET structures having an insulating separation plug

Publications (1)

Publication Number Publication Date
DE102016115983A1 true DE102016115983A1 (de) 2017-05-04

Family

ID=58337218

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016115983.2A Pending DE102016115983A1 (de) 2015-10-30 2016-08-28 Halbleiterstruktur und Herstellungsverfahren

Country Status (5)

Country Link
US (4) US9601567B1 (de)
KR (2) KR20170051130A (de)
CN (1) CN106910738B (de)
DE (1) DE102016115983A1 (de)
TW (1) TWI619178B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020128427A1 (de) 2020-08-05 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-Elektrodenabscheidung und dadurch gebildete Struktur

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153668B2 (en) * 2013-05-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning tensile strain on FinFET
US9601567B1 (en) 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3244447A1 (de) * 2016-05-11 2017-11-15 IMEC vzw Verfahren zur herstellung einer gate-struktur und halbleiterbauelement
US10263090B2 (en) 2017-04-24 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102017128047A1 (de) 2017-04-24 2018-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitereinrichtung und verfahren zu deren herstellung
US10134604B1 (en) * 2017-04-28 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10008496B1 (en) * 2017-05-08 2018-06-26 Globalfoundries Inc. Method for forming semiconductor device having continuous fin diffusion break
US10043712B1 (en) * 2017-05-17 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10269787B2 (en) 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US10396206B2 (en) * 2017-07-07 2019-08-27 Globalfoundries Inc. Gate cut method
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
CN109698238A (zh) * 2017-10-23 2019-04-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10453936B2 (en) * 2017-10-30 2019-10-22 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
DE102018124812B4 (de) 2017-11-20 2024-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-dielektrium wahrender gate-schneidprozess
US10510894B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10741450B2 (en) * 2017-11-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a metal gate and formation method thereof
US10229854B1 (en) * 2017-12-14 2019-03-12 International Business Machines Corporation FinFET gate cut after dummy gate removal
KR102419894B1 (ko) * 2018-03-14 2022-07-12 삼성전자주식회사 비-활성 핀을 갖는 반도체 소자
KR102553251B1 (ko) * 2018-04-06 2023-07-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10629492B2 (en) 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
KR102460847B1 (ko) 2018-05-25 2022-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10418285B1 (en) * 2018-05-30 2019-09-17 Globalfoundries Inc. Fin field-effect transistor (FinFET) and method of production thereof
KR102636464B1 (ko) 2018-06-12 2024-02-14 삼성전자주식회사 게이트 분리층을 갖는 반도체 소자 및 그 제조 방법
US10861750B2 (en) * 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102647231B1 (ko) 2018-08-02 2024-03-13 삼성전자주식회사 반도체 소자 및 이의 제조방법
US11094802B2 (en) * 2018-08-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and semiconductor device
KR102663192B1 (ko) * 2018-09-06 2024-05-09 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10714347B2 (en) * 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
KR102564326B1 (ko) * 2018-10-29 2023-08-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102595606B1 (ko) * 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
US10741401B1 (en) * 2019-02-09 2020-08-11 International Business Machines Corporation Self-aligned semiconductor gate cut
US20210202321A1 (en) * 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. High Voltage Devices
US11557649B2 (en) * 2020-06-29 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US20220392898A1 (en) * 2021-06-07 2022-12-08 Intel Corporation Integrated circuit structures having cut metal gates

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384838B2 (en) * 2005-09-13 2008-06-10 International Business Machines Corporation Semiconductor FinFET structures with encapsulated gate electrodes and methods for forming such semiconductor FinFET structures
JP2007207837A (ja) * 2006-01-31 2007-08-16 Toshiba Corp 半導体装置および半導体装置の製造方法
US8665629B2 (en) * 2007-09-28 2014-03-04 Qimonda Ag Condensed memory cell structure using a FinFET
JP5554701B2 (ja) * 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
US7947589B2 (en) * 2009-09-02 2011-05-24 Freescale Semiconductor, Inc. FinFET formation with a thermal oxide spacer hard mask formed from crystalline silicon layer
US8872247B2 (en) * 2009-11-04 2014-10-28 Micron Technology, Inc. Memory cells having a folded digit line architecture
US8420476B2 (en) * 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
KR101802220B1 (ko) * 2010-12-20 2017-11-29 삼성전자주식회사 수직형 채널 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
KR20140082833A (ko) * 2011-10-26 2014-07-02 반도 카가쿠 가부시키가이샤 고부하 전동용 v 벨트 및 그 제조방법
US8513078B2 (en) * 2011-12-22 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for fabricating fin devices
WO2013101007A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process
US9698229B2 (en) * 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8586455B1 (en) * 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9147682B2 (en) * 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9240611B2 (en) * 2013-01-15 2016-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures having a micro-battery and methods for making the same
US9041125B2 (en) * 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9153668B2 (en) * 2013-05-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning tensile strain on FinFET
KR102072410B1 (ko) * 2013-08-07 2020-02-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR20150040544A (ko) * 2013-10-07 2015-04-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9590104B2 (en) * 2013-10-25 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate device over strained fin structure
US9105617B2 (en) * 2013-11-13 2015-08-11 Globalfoundries Inc. Methods and structures for eliminating or reducing line end epi material growth on gate structures
CN107818943B (zh) * 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
US9627375B2 (en) 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9406746B2 (en) * 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
US9490129B2 (en) * 2014-05-08 2016-11-08 GlobalFoundries, Inc. Integrated circuits having improved gate structures and methods for fabricating same
CN105225951B (zh) * 2014-05-30 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9214358B1 (en) * 2014-10-30 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Equal gate height control method for semiconductor device with different pattern densites
US9368494B2 (en) * 2014-11-11 2016-06-14 Nanya Technology Corp. Semiconductor device and method of manufacturing the same
KR102217246B1 (ko) * 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102290793B1 (ko) * 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
US9515185B2 (en) * 2014-12-31 2016-12-06 Stmicroelectronics, Inc. Silicon germanium-on-insulator FinFET
US9502567B2 (en) * 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) * 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102245136B1 (ko) * 2015-02-24 2021-04-28 삼성전자 주식회사 반도체 소자 형성 방법
US9537007B2 (en) * 2015-04-07 2017-01-03 Qualcomm Incorporated FinFET with cut gate stressor
KR102376503B1 (ko) * 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9553090B2 (en) * 2015-05-29 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
KR20170020604A (ko) * 2015-08-12 2017-02-23 삼성전자주식회사 반도체 장치의 제조 방법
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
KR20170047953A (ko) * 2015-10-26 2017-05-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106684144B (zh) * 2015-11-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9773879B2 (en) * 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11088030B2 (en) * 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9773912B2 (en) * 2016-01-28 2017-09-26 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
EP3244447A1 (de) * 2016-05-11 2017-11-15 IMEC vzw Verfahren zur herstellung einer gate-struktur und halbleiterbauelement
US9917085B2 (en) * 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9870948B2 (en) * 2016-06-09 2018-01-16 International Business Machines Corporation Forming insulator fin structure in isolation region to support gate structures
KR102573407B1 (ko) * 2016-08-24 2023-08-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9741717B1 (en) * 2016-10-10 2017-08-22 International Business Machines Corporation FinFETs with controllable and adjustable channel doping
KR102549331B1 (ko) * 2016-11-14 2023-06-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI707473B (zh) * 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10886268B2 (en) * 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10038079B1 (en) * 2017-04-07 2018-07-31 Taiwan Semicondutor Manufacturing Co., Ltd Semiconductor device and manufacturing method thereof
DE102017128047A1 (de) * 2017-04-24 2018-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitereinrichtung und verfahren zu deren herstellung
US9911736B1 (en) * 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10163640B1 (en) * 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate isolation plugs structure and method
US10468527B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10867998B1 (en) * 2017-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10461171B2 (en) * 2018-01-12 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stacks
KR102595606B1 (ko) * 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
KR20200121154A (ko) * 2019-04-15 2020-10-23 삼성전자주식회사 반도체 장치
US10707325B1 (en) * 2019-05-29 2020-07-07 International Business Machines Corporation Fin field effect transistor devices with robust gate isolation
KR20210158615A (ko) * 2020-06-24 2021-12-31 삼성전자주식회사 게이트 라인을 포함하는 집적회로 소자
TW202304928A (zh) * 2021-03-23 2023-02-01 美商諾維雪碧歐公司 抗癌核荷爾蒙受體標靶化合物

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020128427A1 (de) 2020-08-05 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-Elektrodenabscheidung und dadurch gebildete Struktur
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby

Also Published As

Publication number Publication date
CN106910738B (zh) 2019-11-15
TWI619178B (zh) 2018-03-21
KR20180018642A (ko) 2018-02-21
CN106910738A (zh) 2017-06-30
KR20170051130A (ko) 2017-05-11
US20210351038A1 (en) 2021-11-11
US20170154779A1 (en) 2017-06-01
US11075082B2 (en) 2021-07-27
US20190139775A1 (en) 2019-05-09
KR102183123B1 (ko) 2020-11-26
TW201730987A (zh) 2017-09-01
US10157746B2 (en) 2018-12-18
US9601567B1 (en) 2017-03-21

Similar Documents

Publication Publication Date Title
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102015112913B4 (de) Halbleiterbauelement und Herstellungsverfahren hierfür
DE102017114981B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102017110846B4 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE102017117971B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102015112604B4 (de) Verfahren für die Herstellung eines Halbleiterbauelements
DE102016115991A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102015111262A1 (de) Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser
DE102016114869A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102017126511A1 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102015112267A1 (de) Verfahren und struktur für finfet
DE102017127542A1 (de) Struktur und verfahren für einen gate-isolierstecker
DE102017124637A1 (de) Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
DE102019124526A1 (de) Halbleitervorrichtung und verfahren
DE102017126225A1 (de) Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
DE102017124779A1 (de) Halbleitervorrichtung und ihr Herstellungsverfahren
DE102016117473A1 (de) Halbleitervorrichtung und verfahren zum herstellen von dieser
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102016114923A1 (de) Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102019110004A1 (de) Schlitzkontakte und verfahren zu deren herstellung
DE102020105936B4 (de) Halbleitervorrichtung
DE102017128047A1 (de) Halbleitereinrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029417000

Ipc: H01L0029423000

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication