DE102015112267A1 - Verfahren und struktur für finfet - Google Patents
Verfahren und struktur für finfet Download PDFInfo
- Publication number
- DE102015112267A1 DE102015112267A1 DE102015112267.7A DE102015112267A DE102015112267A1 DE 102015112267 A1 DE102015112267 A1 DE 102015112267A1 DE 102015112267 A DE102015112267 A DE 102015112267A DE 102015112267 A1 DE102015112267 A1 DE 102015112267A1
- Authority
- DE
- Germany
- Prior art keywords
- gate stack
- oxide layer
- trench
- over
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 159
- 238000005530 etching Methods 0.000 claims abstract description 56
- 125000006850 spacer group Chemical group 0.000 claims abstract description 56
- 239000004065 semiconductor Substances 0.000 claims abstract description 31
- 239000000758 substrate Substances 0.000 claims abstract description 26
- 238000000151 deposition Methods 0.000 claims abstract description 10
- 230000008569 process Effects 0.000 claims description 104
- 238000002955 isolation Methods 0.000 claims description 36
- 229910052751 metal Inorganic materials 0.000 claims description 20
- 239000002184 metal Substances 0.000 claims description 20
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 11
- 238000000231 atomic layer deposition Methods 0.000 claims description 9
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 8
- 238000009413 insulation Methods 0.000 claims description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 4
- 229910052799 carbon Inorganic materials 0.000 claims description 4
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 2
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 claims 1
- 239000011800 void material Substances 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 142
- 239000007789 gas Substances 0.000 description 17
- 239000000463 material Substances 0.000 description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 7
- 230000003647 oxidation Effects 0.000 description 7
- 238000007254 oxidation reaction Methods 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 238000000137 annealing Methods 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- -1 Si x N y (eg Chemical compound 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000001125 extrusion Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 239000000565 sealant Substances 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- 229910005881 NiSi 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910000311 lanthanide oxide Inorganic materials 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/6681—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Element Separation (AREA)
Abstract
Eine Halbleitervorrichtung und ein Verfahren zu deren Bildung wird offenbart. Das Verfahren umfasst das Aufnehmen eines Substrates, das eine aktive Finne aufweist, eine Oxidschicht über der aktiven Finne, einen Dummygatestapel über der Oxidschicht und ein Abstandselementmerkmal über der Oxidschicht und an Seitenwänden des Dummygatestapels. Das Verfahren umfasst weiter das Entfernen des Dummygatestapels, was in einem ersten Graben resultiert; das Ätzen der Oxidschicht in dem ersten Graben, was in einem Hohlraum unter dem Abstandselementmerkmal resultiert; das Abscheiden eines Dielektrikums in dem ersten Graben und in dem Hohlraum; und das Ätzen in dem ersten Graben, um die aktive Finne freizulegen, was einen ersten Abschnitt des Dielektrikums in dem Hohlraum hinterlässt.
Description
- VERFAHREN UND STRUKTUR FÜR FINFET
- Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 62/065,149 mit dem Titel „Method and Structure for FinFET” eingereicht am 17. Oktober 2014, die hierin durch Bezugnahme vollständig aufgenommen wird.
- ALLGEMEINER STAND DER TECHNIK
- Die Industrie für integrierte Schaltungen (IC) ist exponentiell gewachsen. Technische Fortschritte bei den IC-Materialien und dem IC-Design haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltungen aufweist als die vorherige Generation. Im Zuge der IC-Entwicklung hat die Funktionsdichte (d. h. die Anzahl der miteinander verbundenen Bauelemente pro Chipfläche) allgemein zugenommen, während die geometrische Größe (d. h. die kleinste Komponente (oder Leitung), die sich mit einem Herstellungsprozess erreichen lässt) abgenommen hat. Dieser Abwärtsskalierungsprozess bietet allgemein Vorteile durch Steigerung der Produktionseffizienz und Senkung der zugehörigen Kosten. Eine solche Abwärtsskalierung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
- Wenn beispielsweise Feldeffekttransistoren (FETs), wie finnenartige FETs (FinFETs) hergestellt werden, kann das Betriebsverhalten der Vorrichtung unter Verwendung einer Metallgateelektrode anstatt einer üblichen Polysiliziumgateelektrode verbessert werden. Ein Prozess, um einen Metallgatestapel zu bilden, wird Austauschgate- oder „Gate-Last-”-Prozess genannt, bei dem der endgültige Gatestapel als „letztes” hergestellt wird, was eine verminderte Anzahl an anschließenden Prozessen einschließlich Hochtemperaturverarbeitung, die nach dem Bilden des Gates erfolgt, ermöglicht. Es besteht jedoch die Herausforderung des Implementierens solcher IC-Fertigungsprozesse, speziell mit verkleinerten IC-Merkmalen in fortschrittlichen Verfahrensknoten wie N20, N16 und darüber hinaus. Eine Herausforderung ist Metallextrusion von dem Metallgate zu in der Nähe befindlichen Source-/Drain-Regionen.
- KURZE BESCHREIBUNG DER ZEICHNUNGEN
- Die vorliegende Offenbarung wird aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der üblichen Praxis in der Branche verschiedene Merkmale nicht maßstäblich gezeichnet sind und nur für Veranschaulichungszwecke verwendet werden. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Übersichtlichkeit der Erörterung willkürlich vergrößert oder reduziert sein.
- Die
1A und1B zeigen ein Flussdiagramm eines Verfahrens zur Herstellung einer Halbleitervorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. - Die
2A ,2B ,3 ,4 ,5 ,6A ,6B ,6C ,6D ,7A ,7B ,8A ,8B ,8C ,9 ,10A ,10B ,11 ,12 und13 sind Perspektiv- und Querschnittansichten des Bildens einer Halbleitervorrichtung gemäß dem Verfahren der1A und1B gemäß einigen Ausführungsformen. - AUSFÜHRLICHE BESCHREIBUNG
- Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele bereit, um unterschiedliche Merkmale des bereitgestellten Gegenstandes zu implementieren. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht begrenzen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und zweiten Merkmalen gebildet sein können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Übersichtlichkeit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
- Weiter können räumlich relative Begriffe, wie „darunter”, „unter”, „untere”, „obere” und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb der Vorrichtung umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.
- Die vorliegende Offenbarung betrifft generell Halbleitervorrichtungen und insbesondere Halbleitervorrichtungen mit FinFETs. Es ist eine Aufgabe der vorliegenden Offenbarung, Verfahren und Strukturen bereitzustellen, um Metallextrusion bei FinFET-„Gate-Last-”-Prozessen effektiv vorzubeugen. In einem Gate-Last-Prozess wird ein Dummygatestapel über einem Substrat als ein Platzhalter für einen tatsächlichen Gatestapel gebildet. Dann wird ein Abstandselementmerkmal gebildet, das den Dummygatestapel umgibt. Nachdem die Source-/Drain-Merkmale neben dem Abstandselementmerkmal gebildet sind, wird der Dummygatestapel entfernt, was eine Öffnung hinterlässt, die von dem Abstandselement umgeben ist. Letztendlich wird ein Metallgate in der Öffnung gebildet. Wenn der Dummygatestapel entfernt wird, kann ein Überätzproblem auftreten, was in einer dünnen oder in keiner Isolierungsschicht zwischen dem Metallgate und den Source-/Drain-Merkmalen resultiert. Daher diffundieren metallische Materialien von dem Metallgate in die Source-/Drain-Merkmale, was Herstellungsfehler verursacht. Die vorliegende Offenbarung stellt Verfahren und Strukturen bereit, die das vorstehende Problem adressieren.
- Bezug nehmend auf die
1A und1B ist ein Flussdiagramm eines Verfahrens10 zum Bilden einer Halbleitervorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung veranschaulicht. Das Verfahren10 ist lediglich ein Beispiel und nicht dazu beabsichtigt, die vorliegende Offenbarung über das hinaus, was explizit in den Ansprüchen angeführt ist, zu begrenzen. Zusätzliche Arbeitsvorgänge können vor, während und nach dem Verfahren10 vorgesehen sein und einige beschriebene Arbeitsvorgänge können für zusätzliche Ausführungsformen des Verfahrens ausgetauscht, eliminiert oder verschoben werden. Das Verfahren10 wird nachfolgend in Verbindung mit den2A bis13 beschrieben, die einen Abschnitt einer Halbleitervorrichtung100 bei verschiedenen Herstellungsstufen veranschaulichen. Die Vorrichtung100 kann eine Zwischenvorrichtung sei die während des Verarbeitens eines ICs oder eines Abschnitts davon hergestellt wird, die einen SRAM und/oder andere Logikschaltungen, passive Bauelemente, wie Widerstände, Kondensatoren und Induktoren, und aktive Bauelemente wie p-FETs (PFETs), n-FETs (NFETs), FinFETs, Metalloxidhalbleiter-Feldeffekttransistoren (MOSFET), Komplementär-Metalloxid-Halbleiter-(CMOS)-Transistoren, Bipolartransistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon umfassen kann. - Bei Arbeitsvorgang
12 nimmt das Verfahren10 (1A ) ein Substrat102 mit verschiedenen Strukturen auf, die darin und/oder darauf gebildet sind. Siehe die2A und2B gemeinsam.2A ist eine perspektivische Vorderansicht der Halbleitervorrichtung100 während2B eine perspektivische Seitenansicht der Halbleitervorrichtung100 entlang der Linie „1-1” von2A ist. Die Vorrichtung100 umfasst das Substrat102 und eine Isolierungsstruktur106 über dem Substrat102 . Das Substrat102 umfasst eine aktive Finne104 , die nach oben durch die Isolierungsstruktur106 hervorsteht. Die Vorrichtung100 umfasst weiter eine Oxidschicht108 , einen Dummygatestapel110 und ein Abstandselementmerkmal112 . Die Oxidschicht108 deckt die aktive Finne104 ab. Der Dummygatestapel110 befindet sich über der Isolierungsstruktur106 und der Oxidschicht108 und greift in einen Abschnitt der aktiven Finne104 entlang einer Breitenrichtung der Finne ein. Das Abstandselementmerkmal112 befindet sich über der Isolierungsstruktur106 und der Oxidschicht108 und an Seitenwänden des Dummygatestapels110 . Die verschiedenen vorstehend genannten Strukturen der Vorrichtung100 werden nachfolgend ausführlicher beschrieben. - Das Substrat
102 ist in der vorliegenden Ausführungsform ein Siliziumsubstrat. Alternativ kann das Substrat102 einen weiteren Elementhalbleiter wie Germanium; einen Verbindungshalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon umfassen. Bei noch einer weiteren Alternative ist das Substrat102 ein Halbleiter auf Isolator (SOI) wie eine vergrabene Dielektrikumschicht. - Die Finne
104 ist geeignet, um einen p-FinFET oder einen n-FinFET zu bilden. Die Finne104 kann unter Verwendung von geeigneten Prozessen einschließlich Fotolithografie und Ätzverfahren hergestellt werden. Der Fotolithografieprozess kann das Bilden einer Fotoresistschicht (Resist) umfassen, die dem Substrat102 überlagert ist, das Aussetzen des Resist gegenüber einer Struktur, das Ausführen von Prozessen zum Einbrennen nach dem Aussetzen und Entwickeln des Resist, um ein Maskierelement zu bilden, das den Resist umfasst. Das Maskierelement wird dann für das Ätzen von Aussparungen in das Substrat102 verwendet, was die Finne104 auf dem Substrat102 hinterlässt. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen (RIE) und/oder andere geeignete Prozesse umfassen. Alternativ kann die Finne104 unter Verwendung von Dornabstandselement-Doppelstrukturierungslithografie gebildet werden. Viele andere Ausführungsformen von Verfahren zum Bilden der Finnen104 können geeignet sein. - Die Isolierungsstruktur
106 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, fluoriddotiertem Silikatglas (FSG), einem Low-k-Dielektrikum und/oder einem anderen geeigneten Isoliermaterial gebildet sein. Die Isolierungsstruktur106 kann flache Grabenisolation-(STI)-Merkmale sein. Bei einer Ausführungsform wird die Isolierungsstruktur106 durch Ätzen von Gräben im Substrat102 gebildet, wie z. B. als Teil des Bildungsprozesses der Finne104 . Die Gräben können dann mit Isoliermaterial gefüllt werden gefolgt von einem chemisch mechanischen Planarisierungs-(CMP)-Prozess. Eine andere Isolierungsstruktur wie Feldoxid, lokale Oxidation von Silizium (LOCOS) und/oder andere geeignete Strukturen sind möglich. Die Isolierungsstruktur106 kann eine mehrschichtige Struktur umfassen, die beispielsweise eine oder mehrere Auskleidungsschichten aus thermischem Oxid aufweist. - Die Oxidschicht kann ein Dielektrikum wie Siliziumoxid (SiO2) oder Stickstoff-(N)-dotiertes SiO2 umfassen und kann durch chemische Oxidation, Thermooxidation, Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD) und/oder andere geeignete Verfahren gebildet sein. Beispielsweise kann die Oxidschicht
108 durch einen schnellen Thermooxidations-(RTO)-Prozess oder in einem Glühprozess gewachsen werden, der Sauerstoff umfasst. - Der Dummygatestapel
110 greift in der vorliegenden Ausführungsform in die Finne104 an drei Seiten der Finne ein. Alternativ kann er in die Finne104 auf nur zwei Seiten (nicht auf der oberen Seite) der Finne eingreifen. Er wird „Dummy” genannt, weil er in einem späteren Schritt entfernt wird und mit einem „wirklichen” Gatestapel wie einem High-k-Metallgate in einem „Gate-Last”-Prozess ersetzt wird. Der Dummygatestapel110 kann eine oder mehrere Materialschichten, wie eine Polysiliziumschicht, eine Hartmaskenschicht, eine Verkappungsschicht und andere geeignete Schichten umfassen. Bei einer Ausführungsform umfasst der Dummygatestapel110 Polysilizium. Der Dummygatestapel110 kann durch geeignete Abscheidungsprozesse wie chemische Niederdruck-Gasphasenabscheidung (LPCVD) und plasmaverstärkte CVD (PECVD) gebildet werden. Bei einer Ausführungsform wird der Dummygatestapel zuerst als Deckschichten über der Isolierungsstruktur106 abgeschieden. Dann werden die Deckschichten durch einen Prozess gebildet, der Fotolithografieprozesse und Ätzprozesse umfasst, wodurch Abschnitte der Deckschichten entfernt und die verbleibenden Abschnitte über der Isolierungsstruktur106 und der Oxidschicht108 als der Dummygatestapel bewahrt werden. - Das Abstandselementmerkmal
112 wird an Seitenwänden des Dummygatestapels110 gebildet. Das Abstandselementmerkmal112 umfasst ein Material, das sich von dem bzw. den Materialien für den Dummygatestapel110 unterscheidet. Bei einer Ausführungsform umfasst das Abstandselementmerkmal112 ein Dielektrikum wie Siliziumnitrid oder Siliziumoxinitrid. Bei einem Beispiel umfasst das Abstandselementmerkmal112 mehrfache Schichten wie eine Siegelschicht neben dem Dummygatestapel110 und eine Hauptabstandselementschicht neben der Siegelschicht. Bei einer Ausführungsform werden eine oder mehrere Abstandselementschichten durch ganzflächiges Abscheiden von Abstandselementmaterialien über der Vorrichtung100 gebildet, nachdem der Dummygatestapel110 gebildet wurde. Dann wird ein Prozess des anisotropischen Ätzens ausgeführt, um Abschnitte der Abstandselementschichten zu entfernen und das Abstandselementmerkmal112 wie veranschaulicht in den2A und2B zu bilden. - Bei Arbeitsvorgang
14 bildet das Verfahren10 (1A ) dotierte Source-/Drain-Merkmale116 in und/oder auf der Finne104 neben dem Abstandselementmerkmal112 . Verschiedene Techniken wie Kohlenstoffimplantation gefolgt von Ausheilen durch Laserstrahlen können für Arbeitsvorgang14 verwendet werden. Bei einer Ausführungsform umfasst der Arbeitsvorgang14 einen Ätzprozess gefolgt von einem oder mehreren Epitaxieprozessen, wobei der Ätzprozess Aussparungen114 in der Finne104 bildet und die Epitaxieprozesse die dotierten Source-/Drain-Merkmale116 in den Aussparungen bilden, die in den3 und4 entsprechend veranschaulicht sind. - Unter Bezugnahme auf
3 werden zwei Aussparungen114 in Source-/Drain-Regionen der Finne104 durch einen Ätzprozess wie Trocken-(Plasma)-Ätzen, Nassätzen und dergleichen gebildet. Bei einer Ausführungsform werden ein oder mehrere Fotolithografieprozesse verwendet, um Maskierelemente zu bilden, sodass die verbleibenden Regionen der Vorrichtung100 vor dem Ätzprozess geschützt sind; und dann werden ein oder mehrere von den Ätzprozessen ausgeführt, um Abschnitte der Oxidschicht108 und der Finne104 zu entfernen, um die Aussparungen114 zu bilden. Nach dem Ätzprozess kann ein Reinigungsverfahren ausgeführt werden, das die Aussparungen114 mit einer Fluorwasserstoffsäure-(HF)-Lösung oder einer anderen geeigneten Lösung reinigt. Wie gezeigt in3 kann bei einer Ausführungsform die Oxidschicht108 nahe der Aussparung114 während des Ätzprozesses teilweise verbraucht werden. - Unter Bezugnahme auf
4 werden die dotierten Source-/Drain-Merkmale116 in den Aussparungen114 (3 ) durch ein oder mehrere Aufwachsprozesse gebildet. Bei einer Ausführungsform ist das Aufwachsverfahren ein chemischer Niderdruck-Gasphasenabscheidungs-(LECVD)-Prozess unter Verwendung eines siliziumbasierten Vorläufergases. Bei einem Beispiel dotiert das Aufwachsverfahren in-situ das gewachsene Silizium mit einem p-Dotierstoff, um einen p-FinFET zu bilden, oder einem n-Dotierstoff, um einen n-FinFET zu bilden. Bei einer Ausführungsform wird ein Glühprozess, wie ein schnelles thermisches Glühen-(RTA)-Prozess auf den dotierten Source-/Drain-Merkmalen116 angewandt, um den bzw. die Dotierstoffe davon zu aktivieren. -
4 zeigt weiter eine Dielektrikumschicht118 , die über den Source-/Drain-Merkmalen116 gebildet ist. Die Dielektrikumschicht118 kann eine oder mehrere Materialschichten umfassen. Bei einer Ausführungsform umfasst die Dielektrikumschicht118 eine Zwischenschicht-Dielektrikum-(ILD)-Schicht über einer Kontaktätzstoppschicht (CESL). Beispielsweise kann die CESL eine Schicht aus Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid und/oder anderen Materialien umfassen. Die CESL kann durch einen PECVD-Prozess und/oder andere geeignete Abscheidungs- oder Oxidationsprozesse gebildet werden. Die ILD-Schicht kann Materialien wie Tetraethylorthosilicat-(TEOS)-Oxid, undotiertes Silikatglas oder dotiertes Siliziumoxid wie Borophosphosilikatglas (BPSG), synthetisches Quarzglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete Dielektrika umfassen. Bei einigen Ausführungsformen kann die ILD-Schicht ein hochdichtes Plasma-(HDP)-Dielektrikum (z. B. HDP-Oxid) und/oder ein Prozess mit hohem Aspektverhältnis-(HARP)-Dielektrikum (wie z. B. HARP-Oxid) umfassen. Die ILD-Schicht kann durch einen PECVD-Prozess oder eine andere geeignete Abscheidungstechnik abgeschieden werden. Bei einer Ausführungsform wird die ILD-Schicht durch einen fließfähige CVD-(FCVD)-Prozess gebildet. Der FCVD-Prozess umfasst das Abscheiden eines fließfähigen Materials (wie eine flüssige Verbindung) auf dem Substrat102 , um Gräben zu füllen, und das Umwandeln des fließfähigen Materials in einen Feststoff durch eine geeignete Technik wie beispielsweise Glühen. Nach verschiedenen Abscheidungsprozessen wird ein chemisch mechanischer Planarisierungs-(CMP)-Prozess ausgeführt, um eine obere Fläche der Dielektrikumschicht118 zu planarisieren und eine obere Fläche des Dummygatestapels110 für nachfolgende Herstellungsschritte freizulegen. - Bei Arbeitsvorgang
16 entfernt das Verfahren10 (1A ) den Dummygatestapel110 . Unter Bezugnahme auf5 wird dabei ein Graben120 in der Vorrichtung100 gebildet, der die Oxidschicht108 dort hindurch freilegt. Der Graben120 ist teilweise von dem Abstandselement112 , der Oxidschicht108 und der Isolierungsstruktur106 (nicht dargestellt in5 ) umgeben. Bei einer Ausführungsform umfasst der Arbeitsvorgang16 einen oder mehrere Ätzprozesse, die selektiv abgestimmt sind, um den Dummygatestapel110 (4 ) zu entfernen, während die Abstandselementmerkmale112 und die Dielektrikumschicht118 im Wesentlichen verbleiben. Die Ätzprozesse können einen geeigneten Nassätz-, Trocken-(Plasma)-Ätzprozess und/oder andere Prozesse umfassen. Beispielsweise kann ein Trockenätzprozess chlorhaltige Gase, fluorhaltige Gase, andere Ätzgase oder eine Kombination davon verwenden. Die Nassätzlösungen können NH4OH, verdünnte HF (Fluorwasserstoffsäure), vollentsalztes Wasser, TMAH (Tetramethylammoniumhydroxid), andere geeignete Nassätzlösungen oder Kombinationen davon umfassen. - Bei Arbeitsvorgang
18 ätzt das Verfahren10 (1A ) die Oxidschicht108 durch den Graben120 . Bei einer Ausführungsform entfernt der Arbeitsvorgang18 (z. B. Arbeitsvorgang18a ) teilweise die Oxidschicht108 , was eine dünne Schicht aus Oxid über der Finne104 hinterlässt. Bei einer weiteren Ausführungsform ätzt der Arbeitsvorgang18 (z. B. Arbeitsvorgang18b ) die Oxidschicht108 , um die Finne104 durch den Graben120 freizulegen. Die6A bis6D veranschaulichen verschiedene Ausführungsformen der Vorrichtung100 nach dem Arbeitsvorgang18 . Diese Ausführungsformen haben einen Hohlraum122 unter dem Abstandselementmerkmal112 gemeinsam, der durch den Ätzprozess gebildet wird. Insbesondere zeigt6A , dass der Arbeitsvorgang18 die Oxidschicht108 in dem Graben120 teilweise entfernt, was eine dünne Schicht von Oxid über der Finne104 hinterlässt. Weiter ist der Hohlraum122 teilweise von der Oxidschicht108 und dem Abstandselementmerkmal112 umgeben. Bei einer weiteren Ausführungsform zeigt6B , dass der Arbeitsvorgang18 die Oxidschicht108 durch den Graben120 teilweise entfernt, was eine dünne Schicht von Oxid über der Finne104 hinterlässt. Weiter wurde die Oxidschicht108 seitlich durchgeätzt und der Hohlraum122 ist teilweise von der Oxidschicht108 , der Dielektrikumschicht118 und dem Abstandselementmerkmal112 umgeben. Bei noch einer weiteren Ausführungsform zeigt6C , dass der Arbeitsvorgang18 vertikal durch die Oxidschicht108 geätzt hat und dadurch die Finne104 durch den Graben120 freigelegt hat. Weiter ist der Hohlraum122 teilweise von der Finne104 , einem Abschnitt der Oxidschicht108 und dem Abstandselementmerkmal112 umgeben. Bei noch einer weiteren Ausführungsform zeigt6D , dass der Arbeitsvorgang18 die Oxidschicht108 durch den Graben120 entfernt. Weiter ist der Hohlraum122 teilweise von der Finne104 , der Dielektrikumschicht118 und dem Abstandselementmerkmal112 umgeben. Obwohl dies in den6A bis6D nicht dargestellt ist, ist der Hohlraum122 bei verschiedenen Ausführungsformen auch teilweise von einem Abschnitt der Isolierungsstruktur106 umgeben. - Bei einer Ausführungsform wird die Oxidschicht
108 unter Verwendung eines geeigneten Nassätzprozesses, eines Trocken-(Plasma)-Ätzprozesses und/oder anderer Prozesse geätzt. Nassätzlösungen können beispielsweise NH4OH, HF (Fluorwasserstoffsäure), TMAH (Tetramethylammoniumhydroxid), andere geeignete Nassätzlösungen oder Kombinationen davon umfassen. Beispielsweise kann ein Trockenätzprozess chlorhaltige Gase, fluorhaltige Gase, andere Ätzgase oder eine Kombination davon verwenden. Bei einer Ausführungsform wird die Oxidschicht108 unter Verwendung eines Trockenätzprozesses, der bei einer Temperatur von ungefähr 20 bis zu ungefähr 80 Grad Celsius mit Wasserstoff, Sauerstoff, Stickstoff oder einer Mischung davon als Ätzgase ausgeführt wird, geätzt. Des Weiteren kann die teilweise Entfernung der Oxidschicht108 durch die Ätzzeit gesteuert werden. - Bei Arbeitsvorgang
20 scheidet das Verfahren10 (1B ) ein Dielektrikum in dem Graben120 und in dem Hohlraum122 ab. Siehe die7A und7B .7A ist eine vereinfachte perspektivische Ansicht der Vorrichtung100 nach dem Arbeitsvorgang20 , während7B eine Querschnittansicht der Vorrichtung100 entlang der Linie „3-3” von7A ist. Der Einfachheit halber zeigt7A die Source-/Drain-Merkmale116 und die Dielektrikumschicht118 nicht. Sondern sie veranschaulicht die Aussparung114 (siehe3 ), die in die Finne104 und die Isolierungsstruktur106 geätzt ist. Insbesondere zeigt7A , dass angrenzend an das Abstandselementmerkmal112 ein Abschnitt der Isolierungsstruktur106 unter Bezugnahme auf3 aufgrund von einem oder mehreren Ätzprozessen in Arbeitsvorgang14 eine ausgesparte Fläche126 aufweist. - Unter Bezugnahme auf die
7A und7B bildet der Arbeitsvorgang20 kollektiv eine Dielektrikumschicht124 auf verschiedenen Unterseiten- und Seitenwänden des Grabens120 . Bei der gezeigten Ausführungsform in7B verbleibt aufgrund eines teilweisen Entfernens der Oxidschicht108 in Arbeitsvorgang18 ein Abschnitt davon über der Finne104 (siehe6A ). Daher wird die Dielektrikumschicht124 über dem Abschnitt der Oxidschicht108 , über einem Abschnitt der Isolierungsstruktur106 (siehe7A ), an Seitenwänden des Abstandselementmerkmals112 und insbesondere in dem Hohlraum122 von6A gebildet. Zur Erleichterung der Beschreibung wird der Abschnitt der Dielektrikumschicht124 innerhalb des Hohlraums122 als die Dielektrikumschicht124a bezeichnet. Bei einer Ausführungsform umfasst die Dielektrikumschicht124 ein Material, das sich von dem der Oxidschicht108 unterscheidet. Bei einer Ausführungsform umfasst die Dielektrikumschicht124 ein Siliziumnitrid, wie SixNy (z. B. Si3N4). Bei einer Ausführungsform umfasst die Dielektrikumschicht124 Silizium, Stickstoff und eines aus: Sauerstoff, Kohlenstoff, Wasserstoff und einer Kombination davon. Als Beispiel umfasst die Dielektrikumschicht124 SiCON, SiON oder SiNH. Bei einer Ausführungsform wird die Dielektrikumschicht124 durch einen chemische Gasphasenabscheidungs-(CVD)-Prozess gebildet. Bei einer weiteren Ausführungsform wird die Dielektrikumschicht124 durch einen Atomlagenabscheidungs-(ALD)-Prozess gebildet. Beispielsweise kann ein Abscheidungsprozess, der die Dielektrikumschicht124 bildet, bei einer Temperatur von ungefähr 20 bis zu ungefähr 500 Grad Celsius unter einem Druck von ungefähr 0,1 bis zu ungefähr 150 Torr und mit einem oder mehreren Gasen ausgeführt werden, die Silizium, Kohlenstoff, Sauerstoff, Stickstoff, Helium, Argon, Chlor oder andere geeignete Gase als Vorläufergase enthalten. Bei einer Ausführungsform für einen 16-nm-FinFET-Prozess wird die Dielektrikumschicht124 derart abgeschieden, dass sie eine Dicke von ungefähr 10 bis zu ungefähr 100 A aufweist. Um diese Ausführungsform weiter auszuführen, wird ein ALD-Prozess verwendet, um die Dicke der Dielektrikumschicht124 präzise zu steuern. - Bei Arbeitsvorgang
22 ätzt das Verfahren10 (1B ) in dem Graben120 , um die Finne104 freizulegen. Unter Bezugnahme auf die8A ,8B und8C ist eine Ausführungsform der Vorrichtung100 bei verschiedenen Stufen des Arbeitsvorgangs22 gezeigt, der einen oder mehrere Ätzprozesse einbezieht. Bei Ausführungsformen, bei denen es eine dünne Schicht der Oxidschicht108 über der Finne104 gibt, wie gezeigt in den6A und6B , umfasst der Arbeitsvorgang22 zwei Ätzprozesse. Der erste Ätzprozess wird derart abgestimmt, dass er die Dielektrikumschicht124 in dem Graben120 entfernt, was die Oxidschicht108 darunter freilegt (z. B.8A ). Der zweite Ätzprozess wird derart abgestimmt, dass die dünne Oxidschicht108 entfernt wird, was die Finne104 darunter freilegt (z. B.8B ). Die ersten und zweiten Ätzprozesse verwenden unterschiedliche Ätzrezepte. Bei einer Ausführungsform wird der erste Ätzprozess bei einer Quellenleistung von ungefähr 50 bis zu ungefähr 1.500 W, bei einer Temperatur von ungefähr 20 bis zu ungefähr 80 Grad Celsius, unter einem Druck von ungefähr 1 bis zu ungefähr 100 mTorr und mit einem oder mehreren Gasen CF4, CH3F, CH2F2, CHF3, O2, HBr, He, Cl2, Ar und N2 als Ätzgase ausgeführt. Bei einer Ausführungsform wird der zweite Ätzprozess bei einer Quellenleistung von ungefähr 50 bis zu ungefähr 1.500 W, bei einer Temperatur von ungefähr 20 bis zu ungefähr 80 Grad Celsius, unter einem Druck von ungefähr 1 bis zu ungefähr 100 mTorr und mit einem oder mehreren Gasen H2, CH4, Cl2, HBr, NF3, He, Ar, N2, CF4, CH3F, CH2F2, CHF3 und O2 als Ätzgase ausgeführt. Bei Ausführungsformen, bei denen die Finne104 in Arbeitsvorgang18 wie gezeigt in den6C und6D freigelegt wird, entfernt der Arbeitsvorgang22 die Dielektrikumschicht124 an Seitenwänden des Abstandselementmerkmals112 und über der Finne104 . Bei verschiedenen Ausführungsformen verbleibt die Dielektrikumschicht124a in dem Hohlraum122 (6A bis6D ). Daher befindet sich in der gezeigten Ausführungsform in8B nach dem Arbeitsvorgang22 ein erster Abschnitt der Oxidschicht108 unter der Dielektrikumschicht124a und ein zweiter Abschnitt der Oxidschicht108 unter dem Abstandselementmerkmal112 und neben der Dielektrikumschicht124a . Mehr Profile des FinFET100 sind in den11 bis13 gezeigt und werden später beschrieben. -
8C zeigt eine perspektivische Ansicht der Vorrichtung100 nach dem Arbeitsvorgang22 . Ein Vergleich der8C bis7A zeigt, dass Abschnitte der Dielektrikumschicht124 in Arbeitsvorgang22 entfernt wurden, was die Finne104 und eine obere Fläche128 der Isolierungsstruktur106 in dem Graben120 freigelegt hat. Die Dielektrikumschicht124a verbleibt unter dem Abstandselementmerkmal112 und füllt den Hohlraum122 (z. B. die6A bis6D ). Ein Abschnitt der Oxidschicht108 (siehe z. B. die6A und6C ) verbleibt unter dem Abstandselementmerkmal112 , neben der Dielektrikumschicht124a . - Bei Arbeitsvorgang
24 ätzt das Verfahren10 (1B ) die Isolierungsstruktur106 durch den Graben120 . Unter Bezugnahme auf9 wird die Isolierungsstruktur106 derart geätzt, dass sie eine obere Fläche128' aufweist, die sich unter der oberen Fläche128 befindet, wodurch eine Höhe der Finne104 über die Isolierungsstruktur106 erhöht wird. Bei verschiedenen Ausführungsformen wird das Ätzen der Isolierungsstruktur106 genau gesteuert, um eine wünschenswerte Finnenhöhe zu erreichen und die Arbeitsfunktion des FinFET fein abzustimmen. Dies ist für eine Anhebung oder Feinabstimmung der Vorrichtungsleistung nützlich. Bei einer Ausführungsform wird die Fläche128' beispielsweise um ungefähr 5 bis zu ungefähr 1.500 Å unter die Fläche126 geätzt. Dies stellt wiederum Vorteile für Anhebung oder Feinabstimmung der Vorrichtungsleistung bereit. Beispielsweise kann dies die Verzerrungseffekte erhöhen, die durch die Source-/Drain-Merkmale116 (z. B.8B ) ausgeübt werden. Bei verschiedenen Ausführungsformen wird der Ätzprozess derart abgestimmt, dass das Material für die Isolierungsstruktur106 entfernt wird, aber die Dielektrikumschicht124a im Wesentlichen verbleibt. Bei einer Ausführungsform wird der Arbeitsvorgang24 bei einer Quellenleistung von ungefähr 50 bis zu ungefähr 1.500 W, bei einer Temperatur von ungefähr 20 bis zu ungefähr 80 Grad Celsius, unter einem Druck von ungefähr 1 bis zu ungefähr 100 mTorr und mit einem oder mehreren Gasen Cl2, HBr, NF3, He, Ar und N2 als Ätzgase ausgeführt. - Bei Arbeitsvorgang
26 bildet das Verfahren10 (1B ) einen Gatestapel130 in dem Graben120 . Siehe die10A und10B .10A zeigt eine schematische perspektivische Ansicht der Vorrichtung100 , während10B eine Querschnittansicht der Vorrichtung100 entlang der Linie „4-4” von10A zeigt. Der Gatestapel130 wird über der Fläche128' von der Isolierungsstruktur106 gebildet und greift in die Finne104 auf drei Seiten davon ein. Die Seitenwände des Gatestapels130 grenzen an das Abstandselementmerkmal112 und die Dielektrikumschicht124a an. Bei der gezeigten Ausführungsform in10B grenzen die Seitenwände des Gatestapels130 auch an einen Abschnitt der Oxidschicht108 an. Bei verschiedenen Ausführungsformen umfasst der Gatestapel130 eine oder mehrere Metallschichten. Das Abstandselementmerkmal112 , die Dielektrikumschicht124a und die Oxidschicht108 halten gemeinsam metallische Materialien davon ab, in in der Nähe befindliche Regionen oder Merkmale wie die Source-/Drain-Merkmale116 zu diffundieren. Bei einer Ausführungsform umfasst der Gatestapel130 eine High-k-Dielektrikumschicht und eine Arbeitsfunktionsmetallschicht. Bei einer Ausführungsform umfasst der Gatestapel130 eine Zwischenschicht, eine Dielektrikumschicht, eine Arbeitsfunktionsmetallschicht und eine Füllschicht. Die Zwischenschicht kann ein Dielektrikum wie eine Siliziumoxidschicht (SiO2) oder ein Siliziumoxinitrid (SiON) umfassen und kann durch chemische Oxidation, Thermooxidation, Atomlagenabscheidung (ALD), CVD und/oder ein anderes geeignetes Dielektrikum gebildet sein. Die Dielektrikumschicht kann ein High-k-Dielektrikum-Material wie Hafniumoxid (HfO2), Al2O3, Lanthanidoxide, TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen davon oder ein anderes geeignetes Material umfassen. Die Dielektrikumschicht kann durch ALD und/oder andere geeignete Verfahren gebildet sein. Die Arbeitsfunktionsmetallschicht kann eine p- oder eine n-Arbeitsfunktionsschicht sein. Beispielhafte p-Arbeitsfunktionsmetalle umfassen TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, andere geeignete p-Arbeitsfunktionsmaterialien oder Kombinationen davon. Beispielhafte n-Arbeitsfunktionsmetalle schließen Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, andere geeignete n-Arbeitsfunktionsmaterialien oder Kombinationen davon ein. Die Arbeitsfunktionsmetallschicht kann mehrere Schichten umfassen und kann durch CVD, PVD und/oder einen anderen geeigneten Prozess abgeschieden sein. Die Füllschicht kann Aluminium (Al), Wolfram (W), Cobalt (Co), Kupfer (Cu) und/oder andere geeignete Materialien umfassen. Die Füllschicht kann mittels CVD, PVD, Plattieren und/oder anderer geeigneter Prozesse gebildet sein. Ein CMP-Prozess kann ausgeführt werden, um überschüssige Materialien von dem Gatestapel130 zu entfernen und eine obere Fläche der Vorrichtung100 zu planarisieren. - Die
11 bis13 veranschaulichen verschiedene Profile der Vorrichtung100 nach dem Arbeitsvorgang26 . Unter Bezugnahme auf11 wurde eine Ausführungsform der Vorrichtung100 wie gezeigt in6B durch die Arbeitsvorgänge20 bis26 wie vorstehend beschrieben verarbeitet. Der Gatestapel130 greift in die Finne104 ein. Die Seitenwände des Gatestapels130 grenzen an das Abstandselementmerkmal112 , die Dielektrikumschicht124a und einen Abschnitt der Oxidschicht108 an. Speziell befindet sich die Dielektrikumschicht124a über dem Abschnitt der Oxidschicht108 , die sich über der Finne104 befindet. Sowohl die Dielektrikumschicht124a als auch der Abschnitt der Oxidschicht108 befinden sich unter dem Abstandselementmerkmal112 . - Unter Bezugnahme auf
12 wurde eine Ausführungsform der Vorrichtung100 wie gezeigt in6C durch die Arbeitsvorgänge20 bis26 wie vorstehend beschrieben verarbeitet. Der Gatestapel130 greift in die Finne104 ein. Die Seitenwände des Gatestapels130 grenzen an das Abstandselementmerkmal112 und die Dielektrikumschicht124a an. Ein Abschnitt der Oxidschicht108 befindet sich unter dem Abstandselementmerkmal112 und neben der Dielektrikumschicht124a . - Unter Bezugnahme auf
13 wurde eine Ausführungsform der Vorrichtung100 wie gezeigt in6D durch die Arbeitsvorgänge20 bis26 wie vorstehend beschrieben verarbeitet. Der Gatestapel130 greift in die Finne104 ein. Die Seitenwände des Gatestapels130 grenzen an das Abstandselementmerkmal112 und die Dielektrikumschicht124a an. - Bei verschiedenen Ausführungsformen, die in den
10A –13 gezeigt sind, weist die Vorrichtung100 ein FinFET-Profil auf, welches das Diffundieren metallischer Materialien des Gatestapels130 in in der Nähe befindliche Regionen wie die Source-/Drain-Merkmale116 effektiv verhindert. Die Dielektrikumschicht124a , in einigen Fällen mit einem Abschnitt der Oxidschicht108 , dient als eine Metalldiffundierungssperrschicht. - Bei Arbeitsvorgang
28 führt das Verfahren10 (1B ) weitere Arbeitsvorgänge aus, um eine endgültige Vorrichtung zu bilden. Beispielsweise kann der Arbeitsvorgang28 Kontakte und Durchkontaktierungen bilden, die elektrisch die Source-/Drain-Merkmale116 und den Gatestapel130 verbinden, und metallische Kopplungsstrukturen bilden, die den FinFET mit anderen Abschnitten der Vorrichtung100 verbinden, um eine komplette IC zu bilden. - Obwohl sie nicht dazu beabsichtigt sind, zu begrenzen, stellen eine oder mehrere Ausführungsformen der vorliegenden Offenbarung viele Vorteile an eine Halbleitervorrichtung und deren Bildung bereit. Beispielsweise stellen Ausführungsformen der vorliegenden Offenbarung Verfahren bereit, um ein FinFET-Profil zu bilden, das Gatemetallmaterialien effektiv daran hindert, in Source-/Drain-Regionen einzudringen. Ausführungsformen des FinFET-Profils können abgestimmt werden, sodass sie Prozessfenster vergrößern und die Leistung der Vorrichtung anzuheben. Verschiedene Ausführungsformen der vorliegenden Offenbarung können leicht in den vorhandenen FinFET-Herstellungsablauf für 16 nm und kleinere Prozessknoten integriert werden.
- Bei einem beispielhaften Aspekt ist die vorliegende Offenbarung an ein Verfahren zur Bildung einer Halbleitervorrichtung gerichtet. Das Verfahren umfasst das Aufnehmen eines Substrates, das eine aktive Finne aufweist, eine Oxidschicht über der aktiven Finne, einen Dummygatestapel über der Oxidschicht und ein Abstandselementmerkmal über der Oxidschicht und an Seitenwänden des Dummygatestapels. Das Verfahren umfasst weiter das Entfernen des Dummygatestapels, was in einem ersten Graben resultiert. Das Verfahren umfasst weiter das Ätzen der Oxidschicht in dem ersten Graben, was in einem Hohlraum unter dem Abstandselementmerkmal resultiert. Das Verfahren umfasst weiter das Abscheiden eines Dielektrikums in dem ersten Graben und in dem Hohlraum. Das Verfahren umfasst weiter das Ätzen in dem ersten Graben, um die aktive Finne freizulegen, was einen ersten Abschnitt des Dielektrikums in dem Hohlraum hinterlässt. Bei einer Ausführungsform umfasst das Verfahren weiter das Bilden eines Gatestapels in dem ersten Graben, wobei der Gatestapel in die aktive Finne eingreift.
- Bei einem weiteren beispielhaften Aspekt ist die vorliegende Offenbarung an ein Verfahren zur Bildung einer Halbleitervorrichtung gerichtet. Das Verfahren umfasst das Aufnehmen eines Substrates, das eine aktive Finne aufweist, die nach oben durch eine Isolierungsstruktur über das Substrat hervorsteht, eine Oxidschicht über der aktiven Finne, einen Dummygatestapel über der Isolierungsstruktur und der Oxidschicht und ein Abstandselementmerkmal über der Isolierungsstruktur und der Oxidschicht und an Seitenwänden des Dummygatestapels. Das Verfahren umfasst weiter das Entfernen des Dummygatestapels, wodurch ein erster Graben gebildet wird, wobei der erste Graben die Oxidschicht freilegt. Das Verfahren umfasst weiter das teilweise Entfernen der Oxidschicht in dem ersten Graben, was in einem Hohlraum unter dem Abstandselementmerkmal und einem Abschnitt der Oxidschicht über der aktiven Finne resultiert. Das Verfahren umfasst weiter das Abscheiden eines Dielektrikums im ersten Graben und im Hohlraum und das Ätzen in dem ersten Graben, um die aktive Finne freizulegen, was einen ersten Abschnitt des Dielektrikums unter dem Abstandselementmerkmal hinterlässt. Das Verfahren umfasst weiter das Bilden eines Gatestapels in dem ersten Graben, wobei der Gatestapel in die aktive Finne eingreift.
- Bei einem weiteren beispielhaften Aspekt ist die vorliegende Offenbarung an eine Halbleitervorrichtung gerichtet. Die Halbleitervorrichtung umfasst ein Substrat, das eine aktive Finne aufweist, die nach oben durch eine Isolierungsstruktur über das Substrat hervorsteht; einen Gatestapel über der Isolierungsstruktur, der in die aktive Finne eingreift; eine Siliziumnitridschicht über der aktiven Finne und neben dem Gatestapel; und ein Abstandselementmerkmal über der Isolierungsstruktur, über der Siliziumnitridschicht und an Seitenwänden des Gatestapels. Bei einer Ausführungsform umfasst die Halbleitervorrichtung weiter eine Siliziumoxidschicht unter dem Abstandselementmerkmal, über der aktiven Finne und neben der Siliziumnitridschicht. Bei einer Ausführungsform umfasst die Halbleitervorrichtung weiter eine Siliziumoxidschicht zwischen der aktiven Finne und der Siliziumnitridschicht. Bei einigen Ausführungsformen der Halbleitervorrichtung wird der Gatestapel über einer ersten Fläche der Isolierungsstruktur gebildet, das Abstandselementmerkmal wird über einer zweiten Fläche der Isolierungsstruktur gebildet und von einer Querschnittansicht befindet sich die erste Fläche unter der zweiten Fläche. Bei einer Ausführungsform der Halbleitervorrichtung umfasst der Gatestapel eine High-k-Dielektrikumschicht und eine Arbeitsfunktionsmetallschicht.
- Das Vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Durchschnittsfachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Durchschnittsfachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Durchschnittsfachmann sollte realisieren, dass solche äquivalenten Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.
Claims (20)
- Verfahren zum Bilden einer Halbleitervorrichtung, umfassend: Aufnehmen eines Substrates, das eine aktive Finne aufweist, eine Oxidschicht über der aktiven Finne, einen Dummygatestapel über der Oxidschicht und ein Abstandselementmerkmal über der Oxidschicht und an Seitenwänden des Dummygatestapels; Entfernen des Dummygatestapels, was in einem ersten Graben resultiert; Ätzen der Oxidschicht in dem ersten Graben, was in einem Hohlraum unter dem Abstandselementmerkmal resultiert; Abscheiden eines Dielektrikums in dem ersten Graben und in dem Hohlraum; und Ätzen in dem ersten Graben, um die aktive Finne freizulegen, was einen ersten Abschnitt des Dielektrikums in dem Hohlraum hinterlässt.
- Verfahren nach Anspruch 1, wobei das Ätzen der Oxidschicht die aktive Finne freilegt.
- Verfahren nach Anspruch 1 oder 2, wobei das Ätzen der Oxidschicht die Oxidschicht teilweise entfernt, was einen Abschnitt der Oxidschicht über der aktiven Finne im ersten Graben hinterlässt.
- Verfahren nach Anspruch 3, wobei das Ätzen in dem ersten Graben einen ersten und einen zweiten Ätzprozess umfasst und der erste Ätzprozess abgestimmt ist, um das Dielektrikum zu ätzen, und der zweite Ätzprozess abgestimmt ist, um den Abschnitt der Oxidschicht zu ätzen.
- Verfahren nach einem der vorstehenden Ansprüche, wobei das Abscheiden des Dielektrikums eines verwendet aus: Atomlagenabscheidung und chemischer Gasphasenabscheidung.
- Verfahren nach einem der vorstehenden Ansprüche, wobei das Dielektrikum ein Siliziumnitrid umfasst.
- Verfahren nach Anspruch 6, wobei das Dielektrikum eines umfasst aus: Sauerstoff, Kohlenstoff, Wasserstoff und einer Kombination davon.
- Verfahren nach einem der vorstehenden Ansprüche, wobei der Dummygatestapel über einer Isolierungsstruktur über dem Substrat gebildet wird, weiter umfassend, vor dem Bilden des Gatestapels: Ätzen der Isolierungsstruktur in dem ersten Graben.
- Verfahren nach einem der vorstehenden Ansprüche, weiter umfassend: Bilden eines Gatestapels in dem ersten Graben, wobei der Gatestapel in die aktive Finne eingreift.
- Verfahren nach Anspruch 9, wobei der Gatestapel eine High-k-Dielektrikumschicht und eine Arbeitsfunktionsmetallschicht umfasst.
- Verfahren zum Bilden einer Halbleitervorrichtung, umfassend: Aufnehmen eines Substrates, das eine aktive Finne aufweist, die nach oben durch eine Isolierungsstruktur über das Substrat hervorsteht, eine Oxidschicht über der aktiven Finne, einen Dummygatestapel über der Isolierungsstruktur und der Oxidschicht und ein Abstandselementmerkmal über der Isolierungsstruktur und der Oxidschicht und an Seitenwänden des Dummygatestapels; Entfernen des Dummygatestapels, wodurch ein erster Graben gebildet wird, wobei der erste Graben die Oxidschicht freilegt; teilweises Entfernen der Oxidschicht in dem ersten Graben, was in einem Hohlraum unter dem Abstandselementmerkmal und einem Abschnitt der Oxidschicht über der aktiven Finne resultiert; Abscheiden eines Dielektrikums in dem ersten Graben und in dem Hohlraum; Ätzen in dem ersten Graben, um die aktive Finne freizulegen, was einen ersten Abschnitt des Dielektrikums unter dem Abstandselementmerkmal hinterlässt; und Bilden eines Gatestapels in dem ersten Graben, wobei der Gatestapel in die aktive Finne eingreift.
- Verfahren nach Anspruch 11, wobei das Ätzen in dem ersten Graben einen ersten und einen zweiten Ätzprozess umfasst und der erste Ätzprozess das Dielektrikum in dem ersten Graben entfernt, der zweite Ätzprozess die aktive Finne in dem ersten Graben freilegt und der erste und der zweite Ätzprozess unterschiedliche Ätzrezepte verwenden.
- Verfahren nach Anspruch 11 oder 12, wobei sich ein erster Abschnitt der Oxidschicht unter dem Dielektrikum in dem Hohlraum befindet und sich ein zweiter Abschnitt der Oxidschicht unter dem Abstandselementmerkmal und neben dem Dielektrikum befindet.
- Verfahren nach einem der Ansprüche 11 bis 13, weiter umfassend, vor dem Bilden des Gatestapels: Ätzen der Isolierungsstruktur in dem ersten Graben.
- Verfahren nach Anspruch 14, wobei: das Abstandselementmerkmal zwischen dem ersten Graben und einem ersten Abschnitt der Isolierungsstruktur liegt; und die Isolierungsstruktur im ersten Graben derart geätzt wird, dass sie eine obere Fläche unter einer weiteren oberen Fläche des ersten Abschnitts der Isolierungsstruktur aufweist.
- Halbleitervorrichtung, umfassend: ein Substrat, das eine aktive Finne aufweist, die nach oben durch eine Isolierungsstruktur über das Substrat hervorsteht; einen Gatestapel über der Isolierungsstruktur, der in die aktive Finne eingreift; eine Siliziumnitridschicht über der aktiven Finne und neben dem Gatestapel; und ein Abstandselementmerkmal über der Isolierungsstruktur, über der Siliziumnitridschicht und an Seitenwänden des Gatestapels.
- Halbleitervorrichtung nach Anspruch 16, weiter umfassend: eine Siliziumoxidschicht unter dem Abstandselementmerkmal, über der aktiven Finne und neben der Siliziumnitridschicht.
- Halbleitervorrichtung nach Anspruch 16 oder 17, weiter umfassend: eine Siliziumoxidschicht zwischen der aktiven Finne und der Siliziumnitridschicht.
- Halbleitervorrichtung nach einem der Ansprüche 16 bis 18, wobei: der Gatestapel über einer ersten Fläche der Isolierungsstruktur gebildet ist; das Abstandselementmerkmal über einer zweiten Fläche der Isolierungsstruktur gebildet ist; und die erste Fläche sich von einer Querschnittansicht unter der zweiten Fläche befindet.
- Halbleitervorrichtung nach einem der Ansprüche 16 bis 19, wobei der Gatestapel eine High-k-Dielektrikumschicht und eine Arbeitsfunktionsmetallschicht umfasst.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462065149P | 2014-10-17 | 2014-10-17 | |
US62/065,149 | 2014-10-17 | ||
US14/799,057 | 2015-07-14 | ||
US14/799,057 US9735256B2 (en) | 2014-10-17 | 2015-07-14 | Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features |
Publications (2)
Publication Number | Publication Date |
---|---|
DE102015112267A1 true DE102015112267A1 (de) | 2016-04-21 |
DE102015112267B4 DE102015112267B4 (de) | 2020-08-20 |
Family
ID=55638059
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE102015112267.7A Active DE102015112267B4 (de) | 2014-10-17 | 2015-07-28 | Verfahren und struktur für finfet |
Country Status (5)
Country | Link |
---|---|
US (4) | US9735256B2 (de) |
KR (1) | KR101747530B1 (de) |
CN (1) | CN105529357B (de) |
DE (1) | DE102015112267B4 (de) |
TW (1) | TWI587392B (de) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10510544B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Non-volatile memory semiconductor device and manufacturing method thereof |
DE102017127567B4 (de) | 2016-12-15 | 2023-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Polysilizium-gate-stapel-nassätzchemikalie und halbleiterherstellungsverfahren |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9735256B2 (en) | 2014-10-17 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features |
US9508556B1 (en) * | 2016-01-29 | 2016-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating fin field effect transistor and semiconductor device |
CN108538724B (zh) * | 2017-03-01 | 2021-12-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN109148296B (zh) * | 2017-06-15 | 2021-05-04 | 中芯国际集成电路制造(北京)有限公司 | 半导体结构及其形成方法 |
US10354924B2 (en) * | 2017-08-30 | 2019-07-16 | Macronix International Co., Ltd. | Semiconductor memory device and method of manufacturing the same |
US10483369B2 (en) * | 2017-10-30 | 2019-11-19 | Globalfoundries Inc. | Methods of forming replacement gate structures on transistor devices |
US10283617B1 (en) * | 2017-11-01 | 2019-05-07 | Globalfoundries Inc. | Hybrid spacer integration for field-effect transistors |
US11056392B2 (en) * | 2018-03-29 | 2021-07-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices having gate stacks with protruding parts and method of forming the same |
US11088262B2 (en) * | 2018-09-28 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Radical etching in gate formation |
US11380682B2 (en) * | 2018-10-23 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with FinFET gate structures |
US11121234B2 (en) * | 2019-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked gate spacers |
US10755964B1 (en) * | 2019-05-31 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain isolation structure and methods thereof |
US11437490B2 (en) * | 2020-04-08 | 2022-09-06 | Globalfoundries U.S. Inc. | Methods of forming a replacement gate structure for a transistor device |
US11342413B2 (en) * | 2020-04-24 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective liner on backside via and method thereof |
US11476268B2 (en) | 2020-05-29 | 2022-10-18 | Micron Technology, Inc. | Methods of forming electronic devices using materials removable at different temperatures |
Family Cites Families (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6025635A (en) * | 1997-07-09 | 2000-02-15 | Advanced Micro Devices, Inc. | Short channel transistor having resistive gate extensions |
US6660598B2 (en) * | 2002-02-26 | 2003-12-09 | International Business Machines Corporation | Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region |
US7902029B2 (en) * | 2002-08-12 | 2011-03-08 | Acorn Technologies, Inc. | Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor |
US7456476B2 (en) * | 2003-06-27 | 2008-11-25 | Intel Corporation | Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication |
KR100634372B1 (ko) | 2004-06-04 | 2006-10-16 | 삼성전자주식회사 | 반도체 소자들 및 그 형성 방법들 |
US7361958B2 (en) * | 2004-09-30 | 2008-04-22 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
US7807536B2 (en) | 2006-02-10 | 2010-10-05 | Fairchild Semiconductor Corporation | Low resistance gate for power MOSFET applications and method of manufacture |
US7807578B2 (en) * | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
TWI343631B (en) * | 2007-06-20 | 2011-06-11 | Nanya Technology Corp | Recess channel mos transistor device and fabricating method thereof |
US7939889B2 (en) * | 2007-10-16 | 2011-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistance in source and drain regions of FinFETs |
TW200924069A (en) * | 2007-11-26 | 2009-06-01 | Nanya Technology Corp | Method of forming FINFET device |
US20100038705A1 (en) * | 2008-08-12 | 2010-02-18 | International Business Machines Corporation | Field effect device with gate electrode edge enhanced gate dielectric and method for fabrication |
KR101061178B1 (ko) * | 2008-12-30 | 2011-09-01 | 주식회사 하이닉스반도체 | 반도체 소자 및 그의 제조방법 |
KR101186043B1 (ko) * | 2009-06-22 | 2012-09-25 | 에스케이하이닉스 주식회사 | 반도체 소자 및 그 제조방법 |
US8278164B2 (en) * | 2010-02-04 | 2012-10-02 | International Business Machines Corporation | Semiconductor structures and methods of manufacturing the same |
US8361869B2 (en) * | 2010-12-08 | 2013-01-29 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing suspended fin and gate-all-around field effect transistor |
US8487378B2 (en) | 2011-01-21 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniform channel junction-less transistor |
US8637359B2 (en) * | 2011-06-10 | 2014-01-28 | International Business Machines Corporation | Fin-last replacement metal gate FinFET process |
US20130032876A1 (en) * | 2011-08-01 | 2013-02-07 | International Business Machines Corporation | Replacement Gate ETSOI with Sharp Junction |
US8557666B2 (en) * | 2011-09-13 | 2013-10-15 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits |
US8901665B2 (en) * | 2011-12-22 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US8887106B2 (en) | 2011-12-28 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process |
US8941187B2 (en) * | 2012-01-13 | 2015-01-27 | Globalfoundries Inc. | Strain engineering in three-dimensional transistors based on strained isolation material |
US9281378B2 (en) * | 2012-01-24 | 2016-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin recess last process for FinFET fabrication |
US20130200459A1 (en) * | 2012-02-02 | 2013-08-08 | International Business Machines Corporation | Strained channel for depleted channel semiconductor devices |
JP2013182991A (ja) * | 2012-03-01 | 2013-09-12 | Renesas Electronics Corp | 半導体集積回路装置の製造方法 |
KR101884204B1 (ko) | 2012-03-08 | 2018-08-01 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
KR101912582B1 (ko) * | 2012-04-25 | 2018-12-28 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
US8729634B2 (en) | 2012-06-15 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
US8941177B2 (en) * | 2012-06-27 | 2015-01-27 | International Business Machines Corporation | Semiconductor devices having different gate oxide thicknesses |
US8541274B1 (en) * | 2012-09-11 | 2013-09-24 | Globalfoundries Inc. | Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed after source/drain formation |
US8753970B2 (en) * | 2012-09-12 | 2014-06-17 | Globalfoundries Inc. | Methods of forming semiconductor devices with self-aligned contacts and the resulting devices |
US8846477B2 (en) * | 2012-09-27 | 2014-09-30 | Globalfoundries Inc. | Methods of forming 3-D semiconductor devices using a replacement gate technique and a novel 3-D device |
US8633516B1 (en) * | 2012-09-28 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain stack stressor for semiconductor device |
US8809139B2 (en) * | 2012-11-29 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-last FinFET and methods of forming same |
US20140151756A1 (en) * | 2012-12-03 | 2014-06-05 | International Business Machines Corporation | Fin field effect transistors including complimentarily stressed channels |
US9318606B2 (en) * | 2013-01-14 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of fabricating same |
US9859429B2 (en) * | 2013-01-14 | 2018-01-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of fabricating same |
US8901607B2 (en) * | 2013-01-14 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabricating the same |
US9735255B2 (en) * | 2013-01-18 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a finFET device including a stem region of a fin element |
US8815693B2 (en) * | 2013-01-23 | 2014-08-26 | International Business Machines Corporation | FinFET device formation |
US9166053B2 (en) | 2013-02-22 | 2015-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device including a stepped profile structure |
US8987791B2 (en) * | 2013-02-27 | 2015-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods for forming the same |
US8981496B2 (en) * | 2013-02-27 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate and gate contact structure for FinFET |
US8826213B1 (en) | 2013-03-11 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Parasitic capacitance extraction for FinFETs |
US8943455B2 (en) | 2013-03-12 | 2015-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for layout verification for polysilicon cell edge structures in FinFET standard cells |
US20140264490A1 (en) * | 2013-03-18 | 2014-09-18 | International Business Machines Corporation | Replacement gate electrode with a self-aligned dielectric spacer |
JP2014202969A (ja) * | 2013-04-05 | 2014-10-27 | 富士フイルム株式会社 | パターン形成方法、電子デバイス及びその製造方法 |
US9318582B2 (en) * | 2014-03-17 | 2016-04-19 | International Business Machines Corporation | Method of preventing epitaxy creeping under the spacer |
US9064890B1 (en) * | 2014-03-24 | 2015-06-23 | Globalfoundries Inc. | Methods of forming isolation material on FinFET semiconductor devices and the resulting devices |
US9455200B2 (en) | 2014-08-11 | 2016-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for semiconductor device fabrication |
US9252245B1 (en) * | 2014-09-05 | 2016-02-02 | Globalfoundries Inc. | Spacer-last replacement metal gate flow and device |
US9818877B2 (en) * | 2014-09-18 | 2017-11-14 | International Business Machines Corporation | Embedded source/drain structure for tall finFET and method of formation |
US9735256B2 (en) * | 2014-10-17 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features |
-
2015
- 2015-07-14 US US14/799,057 patent/US9735256B2/en active Active
- 2015-07-28 DE DE102015112267.7A patent/DE102015112267B4/de active Active
- 2015-09-06 CN CN201510559557.3A patent/CN105529357B/zh active Active
- 2015-09-14 KR KR1020150129454A patent/KR101747530B1/ko active IP Right Grant
- 2015-10-14 TW TW104133639A patent/TWI587392B/zh active
-
2017
- 2017-08-10 US US15/674,117 patent/US10367079B2/en active Active
-
2019
- 2019-07-29 US US16/525,348 patent/US10749014B2/en active Active
-
2020
- 2020-08-17 US US16/995,401 patent/US11721746B2/en active Active
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10510544B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Non-volatile memory semiconductor device and manufacturing method thereof |
US11133188B2 (en) | 2016-11-29 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Non-volatile memory semiconductor device with electrostatic discharge protection, planarization layers, and manufacturing method thereof |
DE102017127567B4 (de) | 2016-12-15 | 2023-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Polysilizium-gate-stapel-nassätzchemikalie und halbleiterherstellungsverfahren |
Also Published As
Publication number | Publication date |
---|---|
US20190348521A1 (en) | 2019-11-14 |
US9735256B2 (en) | 2017-08-15 |
US20200381532A1 (en) | 2020-12-03 |
US10749014B2 (en) | 2020-08-18 |
KR101747530B1 (ko) | 2017-06-14 |
US11721746B2 (en) | 2023-08-08 |
US20160111518A1 (en) | 2016-04-21 |
TWI587392B (zh) | 2017-06-11 |
US20170365686A1 (en) | 2017-12-21 |
KR20160045568A (ko) | 2016-04-27 |
CN105529357A (zh) | 2016-04-27 |
TW201626458A (zh) | 2016-07-16 |
DE102015112267B4 (de) | 2020-08-20 |
US10367079B2 (en) | 2019-07-30 |
CN105529357B (zh) | 2018-11-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE102015112267B4 (de) | Verfahren und struktur für finfet | |
DE102015114790B4 (de) | Verfahren und Struktur für eine Halbleitervorrichtung mit einer Gatespacer-Schutzschicht | |
DE102016114705B4 (de) | Ätzstoppschicht für Halbleiter-Bauelemente | |
DE102015100165B4 (de) | Verfahren für finfet-isolierung | |
DE102015117142B4 (de) | Kontakte für stark skalierte Transistoren und Verfahren zu ihrer Herstellung | |
DE102013104744B3 (de) | Halbleitervorrichtung mit metallischem Gate mit modifiziertem Profil und Verfahren zu deren Herstellung | |
DE102012107496B4 (de) | Metallgate-FINFET-Bauelement und Verfahren zu seiner Herstellung | |
DE102019126565B4 (de) | Mehrfachgatevorrichtung und zugehörige verfahren | |
DE102019116606B4 (de) | Multi-gate-vorrichtung und zugehörige verfahren | |
DE102016100049A1 (de) | Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess | |
DE102018111381A1 (de) | Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen | |
DE102017117942A1 (de) | Multi-Gate-Vorrichtung und Herstellungsverfahren dafür | |
DE102020111602B4 (de) | Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür | |
DE102017113681A1 (de) | Halbleiter-bauelement mit luft-abstandshalter | |
DE102020130964A1 (de) | Vertikal ausgerichteter komplementärer transistor | |
DE102017128567A1 (de) | Finfet-isolierungsstruktur und verfahren zu ihrer herstellung | |
DE102012204455A1 (de) | (110)-Oberflächenorientierung zum Reduzieren eines Fermi-Level-Pinnings zwischen einem HIGH-K Dielektrikum und einer Gruppe III-V Verbindungshalbleitervorrichtung | |
DE102021113387A1 (de) | Epitaktische merkmale | |
DE102019127997A1 (de) | Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen | |
DE102017123948A1 (de) | Umschlossene epitaxiale struktur und verfahren | |
DE102020104621A1 (de) | Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren | |
DE102021117896A1 (de) | Halbleitungsvorrichtung mit gateisolationsstruktur und ausbildungsverfahren | |
DE102020131140A1 (de) | Gateisolierungsstruktur | |
DE102019121750B4 (de) | Metallgatestrukturschneidverfahren und damit hergestellte halbleitervorrichtung | |
DE102020119099A1 (de) | Halbleitervorrichtung und verfahren |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R016 | Response to examination communication | ||
R018 | Grant decision by examination section/examining division | ||
R020 | Patent grant now final |