CN105826372B - FinFET器件及其形成方法 - Google Patents

FinFET器件及其形成方法 Download PDF

Info

Publication number
CN105826372B
CN105826372B CN201510005573.8A CN201510005573A CN105826372B CN 105826372 B CN105826372 B CN 105826372B CN 201510005573 A CN201510005573 A CN 201510005573A CN 105826372 B CN105826372 B CN 105826372B
Authority
CN
China
Prior art keywords
device region
fin
layer
pmos
nmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510005573.8A
Other languages
English (en)
Other versions
CN105826372A (zh
Inventor
居建华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SMIC Advanced Technology R&D Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201510005573.8A priority Critical patent/CN105826372B/zh
Priority to US14/987,192 priority patent/US9514994B2/en
Publication of CN105826372A publication Critical patent/CN105826372A/zh
Application granted granted Critical
Publication of CN105826372B publication Critical patent/CN105826372B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明提供一种FinFET器件及其形成方法,形成方法包括:提供包括NMOS器件区域、PMOS器件区域的衬底;形成鳍;对PMOS器件区域中的鳍进行离子掺杂;形成多个伪栅结构、源极和漏极;形成互连介质层;去除伪栅结构,以在互连介质层中形成开口;在NMOS器件区域的开口中的鳍表面形成不同功函数的功函数层;形成栅极结构。FinFET器件包括:多个阈值电压不同的NMOS晶体管、PMOS晶体管;NMOS晶体管的栅极结构包括功函数层以及栅极结构,且功函数层之间的功函数大小不同;PMOS器件区域的鳍中形成有分别对应于各个PMOS晶体管的多个掺杂区,掺杂区之间的掺杂浓度不同。本发明有益效果在于,本发明的方法可以在工艺难度、成本以及晶体管稳定性以及调整阈值电压的效果之间取得较佳平衡。

Description

FinFET器件及其形成方法
技术领域
本发明涉及半导领域,具体涉及一种FinFET器件及其形成方法。
背景技术
传统的金属氧化半导体场效应晶体管(Metal Oxide Semiconductor FieldEffect Transistor,MOSFET)中的栅极为平面结构,在晶体管尺寸不断减小的今天,传统的MOSFET在尺寸缩小到一定程度时,短沟道效应(Short channel effects)变得较为明显,亚阈值电流以及栅泄漏电流增加,影响MOSFET的整体性能,并使这种传统MOSFET的尺寸难以进一步得到减小。
相比之下,多面栅MOSFET(multi gate MOSFET)具有更好的栅控能力,并能够较好的抑制短沟道效应。在这之中,典型的多面栅结构的晶体管为建立在体硅或者绝缘体上的硅(Silicon On Insulator,SOI)结构上的鳍式场效晶体管(Fin Field EffectTransistor,FinFET)器件,这种FinFET器件相对能够满足市场的需求。
同时,市场还要求FinFET器件具有较为灵活的阈值电压(VT),也就是使FinFET器件中的PMOS晶体管以及NMOS晶体管具有多种不同的阈值电压(multi-VT)。但是,现有技术中仍然很难较好地的形成具有多种不同阈值电压的PMOS晶体管和NMOS晶体管的FinFET器件。
发明内容
本发明解决的问题是提供一种FinFET器件及其形成方法,以提高FinFET器件的性能并降低形成方法的难度。
为解决上述问题,本发明提供一种FinFET器件的形成方法,包括:
提供衬底,所述衬底包括NMOS器件区域和PMOS器件区域,所述NMOS器件区域的衬底用于形成多个阈值电压不同的NMOS晶体管,所述PMOS器件区域的衬底用于形成多个阈值电压不同的PMOS晶体管;
在所述NMOS器件区域和PMOS器件区域的衬底上分别形成多个鳍;
对所述PMOS器件区域中的鳍进行离子掺杂,以在PMOS器件区域中的鳍中分别形成掺杂浓度不同的掺杂区;
在位于所述NMOS器件区域以及PMOS器件区域中的多个鳍上分别形成横跨所述鳍的多个伪栅结构,其中,位于PMOS器件区域中的多个伪栅结构分别对应于各个掺杂浓度不同的掺杂区;
在伪栅结构的两侧的鳍中分别形成对应于NMOS以及PMOS的源极和漏极;
在所述NMOS器件区域和PMOS器件区域的衬底、源极、漏极、鳍以及伪栅结构上形成露出伪栅结构的互连介质层;
去除NMOS器件区域以及PMOS器件区域的伪栅结构,以在所述互连介质层中形成多个露出鳍的开口;
在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于不同阈值电压的第一功函数层;
在所述NMOS器件区域和PMOS器件区域中的开口中形成栅极结构。
可选的,对PMOS器件区域中的鳍进行离子掺杂的步骤包括:采用As离子或P离子对PMOS器件区域中的鳍进行离子掺杂,以形成所述掺杂浓度不同掺杂区。
可选的,对所述PMOS器件区域中的鳍进行离子掺杂的步骤之前,所述形成方法还包括:
对位于PMOS器件区域中的鳍进行掺杂,以形成PMOS晶体管的阱层;
对位于NMOS器件区域中的鳍进行掺杂,以形成NMOS晶体管的阱层。
可选的,形成露出伪栅结构的互连介质层的步骤包括:
在所述伪栅结构、源极、漏极、鳍以及衬底上覆盖互连介质层;
平坦化所述互连介质层,以去除部分互连介质层,使所述伪栅结构从所述互连介质层中露出。
可选的,形成伪栅结构的步骤包括:
在位于所述NMOS器件区域以及PMOS器件区域中的多个鳍上分别形成横跨所述鳍的多个伪栅氧化层;
形成对应于所述伪栅氧化层的多晶硅层,所述多晶硅层与所述伪栅氧化层共同构成所述伪栅结构;
去除伪栅结构的步骤包括:去除所述多晶硅层以及伪栅氧化层。
可选的,去除伪栅结构的步骤之后,在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于不同阈值电压的第一功函数层的步骤之前,所述形成方法还包括:
在NMOS器件区域和PMOS器件区域的开口中露出的鳍上分别形成氧化过渡层;
在氧化过渡层上形成高k介质层;
在高k介质层上形成第一阻挡层;
在PMOS器件区域的第一阻挡层上形成对应于PMOS晶体管的第二功函数层;
在所述对应于PMOS晶体管的第二功函数层上形成第二阻挡层。
可选的,所述氧化过渡层采用二氧化硅作为材料;所述第一阻挡层和所述第二阻挡层均采用Ta或TaN作为材料;所述高k介质层采用LaO、AlO、BaZrO、HfZrO、HfZrON、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4中的一种作为材料,或者采用氮氧化物作为材料;所述对应于PMOS晶体管的第二功函数层采用TiN作为材料。
可选的,在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于NMOS器件的不同功函数的第一功函数层的步骤包括:对所述不同功函数的第一功函数层分别进行离子掺杂。
可选的,采用N、H、F、O、Al、As、Ta、C、P离子中的一种离子,或者几种离子的组合对所述对应于NMOS器件的不同功函数的第一功函数层分别进行离子掺杂。
可选的,形成栅极结构的步骤包括:
在所述开口中形成栅极介质层;
在所述栅极介质层中形成金属栅极。
可选的,形成NMOS晶体管或者PMOS晶体管的源极和漏极的步骤包括:
在所述NMOS晶体管或者PMOS晶体管的伪栅结构两侧的鳍中形成开口;
在所述开口中通过外延生长的方式形成源极或漏极。
此外,本发明还提供一种FinFET器件,包括:
衬底,所述衬底包括NMOS器件区域和PMOS器件区域,所述NMOS器件区域的衬底上形成有多个阈值电压不同的NMOS晶体管,所述PMOS器件区域的衬底上形成有多个阈值电压不同的PMOS晶体管;
所述多个NMOS晶体管的栅极结构与鳍之间分别具有对应于NMOS器件的第一功函数层,且所述第一功函数层之间具有不同的功函数;
所述多个PMOS晶体管的鳍中分别形成有掺杂区,且所述多个PMOS晶体管的掺杂区具有不同的掺杂浓度。
可选的,位于所述PMOS晶体管鳍中的掺杂区为As离子或者P离子掺杂区。
可选的,所述NMOS晶体管以及PMOS晶体管的衬底中均具有阱层。
可选的,所述FinFET器件还包括:
氧化过渡层,形成于所述NMOS晶体管的鳍与第一功函数层之间,还形成于所述PMOS晶体管的鳍与栅极结构之间;
位于氧化过渡层上的高k介质层;
位于所述高k介质层上的第一阻挡层,所述第一功函数层位于所述第一阻挡层上;
形成于PMOS晶体管的第一阻挡层上的对应于PMOS晶体管的第二功函数层;
形成于所述第二功函数层上的第二阻挡层,PMOS晶体管的栅极结构位于所述第二阻挡层上。
可选的,所述第一阻挡层和所述第二阻挡层均采用Ta或TaN作为材料;所述高k介质层采用LaO、AlO、BaZrO、HfZrO、HfZrON、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4中的一种作为材料,或者,采用氮氧化物作为材料;所述第二功函数层采用TiN作为材料。
可选的,所述NMOS晶体管以及PMOS晶体管的栅极结构包括:形成于鳍上的栅极介质层;
形成于所述栅极介质层上的金属栅极。
与现有技术相比,本发明的技术方案具有以下优点:
本发明中,对于PMOS晶体管来说,采用对鳍进行掺杂的方式有利于改变PMOS晶体管的阈值电压,并且,对鳍进行掺杂以改变晶体管阈值电压的工艺流程相对于形成不同功函数层来改变晶体管阈值电压的工艺流程更为简便,成本更低,因为掺杂一般只需要形成一层掺杂掩模挡住部分鳍,然后对露出的鳍进行掺杂即可,而形成不同功函数层来改变晶体管阈值电压的工艺则需要形成一层或多层功函数层,且要对不需要形成功函数层的部分进行遮挡,工艺复杂程度和步骤明显多于对鳍进行掺杂的方式。对于NMOS晶体管来说,通过在位于NMOS器件区域的各个开口中分别形成不同功函数的第一功函数层的方式,以在所述NMOS器件区域的衬底用于形成多个阈值电压不同的NMOS晶体管,有利于精确调节各个NMOS晶体管的阈值电压,同时能够保证NMOS晶体管的稳定性,因为形成第一功函数层并不会对作为沟道区的鳍中的杂质浓度造成影响。所以,本发明的方法可以在工艺难度、成本以及晶体管稳定性以及调整阈值电压的效果之间取得较佳的平衡。
附图说明
图1至图7是本发明FinFET器件的形成方法一实施例中各个步骤的结构示意图。
具体实施方式
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
为了在FinFET器件中形成多个阈值电压不同的PMOS晶体管以及多个阈值电压不同的NMOS晶体管,现有技术中一般采用对鳍掺杂的方式以形成阈值电压不同的PMOS晶体管或者NMOS晶体管。但是由于现今的晶体管尺寸逐渐减小,在越来越小的鳍中掺杂离子以改变晶体管阈值电压的工艺变得愈发困难,不仅掺杂精度受到影响进而导致对晶体管阈值电压调整的精确度降低,还可能导致掺杂后的鳍中的沟道区稳定性下降,进而导致晶体管的整体性能受到影响。
除此之外,现有技术还采用形成功函数层的方式调整晶体管的阈值电压。也就是说,需要在不同的晶体管中形成不同材料的功函数层来调整晶体管的阈值电压。但是这种方法在工艺上比较复杂,成本也比较高。因为在针对某一个晶体管形成其需要的功函数层时,需要在其他晶体管上覆盖起保护作用的掩模,这意味着在需要形成多个阈值电压各不相同的晶体管时,需要反复形成多个掩模以及不同材料的功函数层。同时,这种方式对于调整PMOS晶体管阈值电压的效果并不明显,这使得采用这种方式形成的PMOS晶体管的阈值电压调整的效果并不理想。
为此,本发明提供一种FinFET器件的形成方法,包括:
提供衬底,所述衬底包括NMOS器件区域和PMOS器件区域,所述NMOS器件区域的衬底用于形成多个阈值电压不同的NMOS晶体管,所述PMOS器件区域的衬底用于形成多个阈值电压不同的PMOS晶体管;在所述NMOS器件区域和PMOS器件区域的衬底上分别形成多个鳍;对所述PMOS器件区域中的鳍进行离子掺杂,以在PMOS器件区域中的鳍中分别形成掺杂浓度不同的掺杂区;在位于所述NMOS器件区域以及PMOS器件区域中的多个鳍上分别形成横跨所述鳍的多个伪栅结构,其中,位于PMOS器件区域中的多个伪栅结构分别对应于各个掺杂浓度不同的掺杂区;在伪栅结构的两侧的鳍中分别形成对应于NMOS以及PMOS的源极和漏极;在所述NMOS器件区域和PMOS器件区域的衬底、源极、漏极、鳍以及伪栅结构上形成露出伪栅结构的互连介质层;去除NMOS器件区域以及PMOS器件区域的伪栅结构,以在所述互连介质层中形成多个露出鳍的开口;在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于不同阈值电压的第一功函数层;在所述NMOS器件区域和PMOS器件区域中的开口中形成栅极结构。
通过采用对鳍掺杂的方式形成,可以在整体上简化整个形成过程的工艺复杂程度,并且对鳍进行掺杂的方式能够较好的改变PMOS晶体管的阈值电压。通过形成第一功函数层的方式可以比较精确的调整NMOS器件的阈值电压,同时,相对于现有技术,PMOS晶体管采用鳍掺杂方式调整、NMOS晶体管采用形成第一功函数层的方式调整,其中鳍掺杂方式在工艺上比较简便,且成本也较低,第一功函数层的方式调整阈值电压比较精确,大大的降低了NMOS阈值电压的不匹配性能,且不容易对晶体管造成过多影响,因此这两者结合使用可以在工艺简便程度、成本、晶体管稳定性以及调整阈值电压的效果之间取得较佳的平衡。
参考图1至图7为本发明FinFET器件的形成方法一实施例中各个步骤的结构示意图。
首先参考图1,提供衬底50,所述衬底50包括NMOS器件区域和PMOS器件区域,所述NMOS器件区域的衬底用于形成多个阈值电压不同的NMOS晶体管,所述PMOS器件区域的衬底用于形成多个阈值电压不同的PMOS晶体管。
在所述NMOS器件区域和PMOS器件区域的衬底50上分别形成多个鳍,为了便于表示,本实施例中标记了POMS器件区域中的鳍120a、120b、120c以及NMOS器件区域中的鳍110a、110b、110c。
在本实施例中,所述衬底50上还形成有位于鳍之间的隔离层51,所述鳍120a、120b、120c以及鳍110a、110b、110c从所述隔离层51中凸出。
所述隔离层51为现有技术,本发明对此不作任何限定,也不作赘述。
在本实施例中,在对所述PMOS器件区域中的鳍120a、120b、120c进行离子掺杂的步骤之前,本实施例还包括以下步骤:
对位于PMOS器件区域中的鳍120a、120b、120c进行掺杂以形成PMOS晶体管的阱层(图未示);对位于NMOS器件区域中的鳍110a、110b、110c进行掺杂,以形成NMOS晶体管的阱层(图未示)。此处为现有技术,本发明对此不作赘述,也不作任何限定。
结合参考图2,对所述PMOS器件区域中的鳍120a、120b、120c进行离子掺杂(参考图2中的箭头33),以在PMOS器件区域中的鳍120a、120b、120c中分别形成掺杂浓度不同的掺杂区(图中未示出)这些掺杂浓度不同的掺杂区可以调整形成的PMOS晶体管之间的阈值电压。
例如,使鳍120a、120b、120c之间的掺杂浓度各不相同,由于鳍120a、120b、120c分别对应于不同的晶体管,在后续步骤中,鳍120a、120b、120c上分别形成PMOS晶体管后,各个PMOS晶体管之间的阈值电压也不同。
此外,在单独的某一个鳍上一般也可以形成有多个晶体管,所以所述的掺杂浓度不同的掺杂区还可以是形成于一个鳍上,例如鳍120a、鳍120b或者鳍120c。
这种方式可以更好地改变PMOS晶体管的阈值电压,相对于现有技术中形成所述功函数层以调整阈值电压的方式,本发明中直接对鳍120a、120b、120c进行离子掺杂的效果更为明显。
同时,这种掺杂方式相对于现有技术来说,在工艺上也更为简便、成本更低,因为掺杂一般只需要形成一层掺杂掩模遮挡住其他不需要被掺杂的鳍,然后对露出的鳍进行离子掺杂即可;而在现有技术,要得到阈值电压不同PMOS晶体管,一般通过形成不同功函数层的方式,通过不同功函数层各自的功函数不同,进而改变PMOS晶体管的阈值电压。但是采用功函束层的工艺则需要在同一个PMOS晶体管中形成一层或多层功函数层,且要对不需要形成功函数层的PMOS晶体管进行遮挡,因此工艺复杂程度和步骤明显多于对鳍进行掺杂的方式。
在本实施例中,具体可以采用As离子或者P离子对PMOS器件区域中的鳍120a、120b、120c进行离子掺杂,以形成所述掺杂浓度不同掺杂区。
在这之后,参考图3,在位于所述NMOS器件区域以及PMOS器件区域中的鳍上形成横跨所述鳍120a、120b、120c以及鳍110a、110b、110c的多个伪栅结构130,其中位于PMOS器件区域中的伪栅结构130对应于不同掺杂浓度的掺杂区。
在本实施例中,形成所述伪栅结构130包括以下步骤:
在位于所述NMOS器件区域的鳍110a、110b、110c以及PMOS器件区域中的鳍120a、120b、120c上分别形成横跨所述鳍的多个伪栅氧化层(图中未示出);
形成对应于所述伪栅氧化层的多晶硅层(图中未示出),以形成所述伪栅结构130。
在形成所述伪栅结构130的步骤后,在所述伪栅结构130两侧分别形成NMOS晶体管或者PMOS晶体管的源极和漏极(图中未示出)。
在本实施例中,可以通过以下方式形成所述NMOS晶体管或者PMOS晶体管的源极和漏极:
在所述伪栅结构130两侧的鳍120a、120b、120c以及鳍110a、110b、110c中形成开口;
通过原位掺杂外延生长的方式,在所述开口中生长以形成NMOS晶体管或者PMOS晶体管的源极和漏极,也就是说,在所述开口中填充半导体材料的同时,对所述半导体材料进行掺杂,已形成源极和漏极。
在本实施例中,可以形成表面突出于所述鳍120a、120b、120c以及鳍110a、110b、110c表面的源极和漏极。但是需要说明的是,以上形成源极和漏极的方式仅仅是本发明的一个实施例,本发明对具体如何形成源极和漏极并不做限定。
在本实施例中,在形成NMOS晶体管或者PMOS晶体管的源极和漏极之后,可以对所述NMOS晶体管或者PMOS晶体管的源极和漏极进行原位(in-situ)掺杂,具体的,对NMOS晶体管的源极和漏极进行N型离子掺杂,对PMOS晶体管的源极和漏极进行P型离子掺杂。
结合参考图4,在所述NMOS器件区域和PMOS器件区域的衬底50、源极和漏极、鳍(包括鳍120a、120b、120c以及鳍110a、110b、110c)以及伪栅结构130上形成互连介质层60(Interlayer Dielectric,ILD)。所述互连介质层60用于作为后续去除伪栅结构130后定义NMOS晶体管以及PMOS晶体管的栅极结构。
在本实施例中,具体可以采用以下步骤形成所述露出伪栅结构130的互连介质层60:
在所述NMOS器件区域和PMOS器件区域的伪栅结构130、源极和漏极、鳍(包括鳍120a、120b、120c以及鳍110a、110b、110c)以及衬底50上形成互连介质层材料;
平坦化以去除部分所述互连介质层材料,并以检测到伪栅结构130材料为停止,此时剩余的互连介质层材料便为所述露出伪栅结构130的互连介质层60,并且伪栅结构130从互连介质层60中露出。
在本实施例中,所述平坦化的工艺具体可以采用化学机械研磨。但是本发明对此并不做限定。
接着参考图5,去除NMOS器件区域以及PMOS器件区域中从互连介质层60中露出的伪栅结构130,以在所述互连介质层60中形成开口61。在后续的步骤中,NMOS晶体管以及PMOS晶体管的栅极结构将形成于所述开口61中。
由于之前形成的所述伪栅结构130横跨所述鳍120a、120b、120c以及鳍110a、110b、110c,因此,在本步骤将伪栅结构130去除后,鳍120a、120b、120c以及鳍110a、110b、110c从所述开口61中露出。
具体的,由于形成的伪栅结构130包括伪栅氧化层以及多晶硅层,因此去除伪栅结构130的步骤包括:依次去除所述多晶硅层以及伪栅氧化层。
在本实施例中,形成的NMOS晶体管以及PMOS晶体管均为包含高k金属栅极的晶体管。所以,在形成所述开口61后,还包括以下步骤:
在NMOS器件区域和PMOS器件区域的开口61中露出的鳍120a、120b、120c以及鳍110a、110b、110c上分别形成氧化过渡层(请参考图中由所述氧化过渡层以及后续形成的高k介质层、第一阻挡层共同形成的叠层结构190);所述氧化过渡层作为过渡层以增加鳍120a、120b、120c以及鳍110a、110b、110c与后续形成的其他材料层之间的粘附力,或者用于缓冲后续形成的其他材料层对鳍120a、120b、120c以及鳍110a、110b、110c造成的应力。
在本实施例中,所述氧化过渡层可以采用二氧化硅作为材料。
在NMOS器件区域和PMOS器件区域的氧化过渡层上形成高k介质层。
如前文所述,氧化过渡层用于增加鳍120a、120b、120c以及鳍110a、110b、110c与后续形成的其他材料层之间的粘附力,或者用于缓冲后续形成的其他材料层对鳍120a、120b、120c以及鳍110a、110b、110c造成的应力和界面态,因此,在本实施例中,所述氧化过渡层用于作为高k介质层的过渡层,以降低高k介质层与鳍120a、120b、120c以及鳍110a、110b、110c之间应力和界面态,同时提高所述高k介质层与鳍120a、120b、120c以及鳍110a、110b、110c之间的粘附力,进而提高后续形成的栅极的可靠性。
在本实施例中,所述高k介质层采用LaO、AlO、BaZrO、HfZrO、HfZrON、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4中的一种材料,或者氮氧化物作为材料。
在NMOS器件区域和PMOS器件区域的高k介质层上形成第一阻挡层;所述第一阻挡层用于防止后续形成的金属栅极中的金属渗透到鳍中,或者是后续形成的各个功函数层中的金属向周围扩散。
在本实施例中,所述第一阻挡层可以采用Ta或TaN作为材料。
在NMOS器件区域和PMOS器件区域的第一阻挡层上形成对应于PMOS晶体管的第二功函数层(图中未示出);所述对应于PMOS晶体管的第二功函数层可以对后续形成的各个PMOS晶体管的功函数进行整体调整,例如,将各个PMOS晶体管的功函数统一下调一定大小。需要说明的是,位于NMOS器件区域的对应于PMOS晶体管的功函数层将在后续步骤中被去除,这样便于后续对应于NMOS器件区域的第一功函数层的形成。
在对应于PMOS晶体管的第二功函数层上形成第二阻挡层。所述第二阻挡层也用于防止PMOS器件区域中后续形成的金属栅极中的金属渗透到鳍中,或者是后续形成的各个功函数层中的金属向周围扩散。
在本实施例中,所述第二阻挡层也可以采用Ta或TaN作为材料。
在这之后,在PMOS器件区域的第二阻挡层上形成光刻胶,并将位于NMOS器件区域的第二阻挡层以及所述对应于PMOS晶体管的第二功函数层去除。
结合参考图6,在位于NMOS器件区域的各个开口61中的鳍110a、110b、110c表面分别形成不同功函数大小的第一功函数层111a、111b、111c,根据功函数大小与晶体管阈值电压成反比的关系可知,在后续形成NMOS晶体管后,对应于所述不同功函数的第一功函数层111a、111b、111c的不同NMOS晶体管之间的阈值电压不同。
这种方式有利于较为精确调节各个NMOS晶体管的阈值电压,进而可以降低NMOS阈值电压的不匹配性能,同时能够保证NMOS晶体管的稳定性,因为在鳍上形成功函数层以调整功函数的方式相较于对鳍进行离子掺杂以调整功函数的方式,对作为沟道区的鳍造成的影响更小。因此,本发明的方法可以在工艺难度、成本以及晶体管稳定性以及调整阈值电压的效果之间取得较佳的平衡。
具体的,所述第一功函数层111a、111b、111c可以包含一层或者多层功函数材料层,所述功函数材料层的材料可以采用TiAl或TiAl(C),也就是掺碳的钛铝合金等作为材料,这些材料具有不同的功函数,在实际操作中,可以通过在不同鳍上设置不同的功函数材料层以达到调节功函数,进而调整NMOS晶体管阈值电压的目的。
但是,本发明对具体的功函数材料层的材料不作限定,而是应当根据实际情况进行选择。
进一步,在本实施例中,在形成所述第一功函数层111a、111b、111c之后,还对所述第一功函数层111a、111b、111c分别进行不同的离子掺杂=。对第一功函数层111a、111b、111c进行离子掺杂可以进一步调整第一功函数层111a、111b、111c的功函数大小,这样进一步有利于增加工艺的精确性,并使NMOS器件可达到的阈值电压范围变得更大。
在本实施例中,可以采用N、H、F、O、Al、As、Ta、C、P离子中的一种离子,或者几种离子的组合对所述第一功函数层111a、111b、111c进行所述离子掺杂。但是本发明对具体采用何种离子并不限定。
在这之后,参考图7,在所述NMOS器件区域和PMOS器件区域中的开口61中形成栅极结构140。如前文所述,本实施例中的栅极结构140,因此形成栅极结构140的步骤包括:
在所述开口61中形成栅极介质层;
在所述栅极介质层上形成金属栅极。
在本实施例中,所述栅极结构140为金属栅极结构。
此外,参考图7所示,本发明还提供一种FinFET器件,包括:
衬底,所述衬底包括NMOS器件区域和PMOS器件区域,所述NMOS器件区域的衬底上形成有多个阈值电压不同的NMOS晶体管,所述PMOS器件区域的衬底上形成有多个阈值电压不同的PMOS晶体管;
所述多个NMOS晶体管的栅极结构与鳍之间分别具有对应于NMOS器件的第一功函数层,且所述第一功函数层之间的功函数大小不同;也就是说,所述多个阈值电压不同的NMOS晶体管之间通过不同功函数大小的第一功函数层实现对自身阈值电压的调整。第一功函数层有利于精确调节各个NMOS晶体管的阈值电压,进而降低NMOS阈值电压的不匹配性能;同时能够保证NMOS晶体管的稳定性,因为在鳍上形成所述第一功函数层基本不会对作为沟道区的鳍中的杂质浓度造成影响。
进一步,本实施例中的各个第一功函数层经过不同的离子掺杂,这样进一步有利于增加工艺的精确性,并使NMOS器件可达到的阈值电压范围变得更大。
具体的,可以采用N、H、F、O、Al、As、Ta、C、P离子中的一种离子或者,几种离子的组合对所述第一功函数层111a、111b、111c进行所述离子掺杂。但是本发明对具体采用何种离子并不限定。
所述多个PMOS器件区域的鳍中形成有分别对应于各个PMOS晶体管的多个掺杂区(图中未示出),所述掺杂区之间的掺杂浓度不同。也就是说,所述PMOS晶体管通过所述掺杂区调整阈值电压,而各个PMOS晶体管之间掺杂区的掺杂浓度不同,因而各个PMOS晶体管之间阈值电压也不同。
在本实施例中,掺杂浓度不同的掺杂区为As或者P离子掺杂区,也就是说,各个掺杂区之间As离子或者P的掺杂浓度不同
此外,在本实施例中,所述FinFET器件还包括:
形成于所述NMOS晶体管的鳍与第一功函数层之间,以及所述PMOS晶体管的鳍与栅极结构之间的氧化过渡层。
所述氧化过渡层作为过渡层以增加鳍120a、120b、120c以及鳍110a、110b、110c与后续形成的其他材料层之间的粘附力,或者用于缓冲后续形成的其他材料层对鳍120a、120b、120c以及鳍110a、110b、110c造成的应力。
在本实施例中,所述氧化过渡层可以采用二氧化硅作为材料。
分别形成于NMOS晶体管以及PMOS晶体管的氧化过渡层上的高k介质层。
如前文所述,氧化过渡层用于增加鳍120a、120b、120c以及鳍110a、110b、110c与后续形成的其他材料层之间的粘附力,或者用于缓冲后续形成的其他材料层对鳍120a、120b、120c以及鳍110a、110b、110c造成的应力和界面态,因此,在本实施例中,所述氧化过渡层用于作为高k介质层的过渡层,以降低高k介质层与鳍120a、120b、120c以及鳍110a、110b、110c之间应力和界面态,同时提高所述高k介质层与鳍120a、120b、120c以及鳍110a、110b、110c之间的粘附力,进而提高后续形成的栅极的可靠性。
在本实施例中,所述高k介质层采用LaO、AlO、BaZrO、HfZrO、HfZrON、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4中的一种材料,或者氮氧化物作为材料。
分别形成于NMOS晶体管以及PMOS晶体管的所述高k介质层上的第一阻挡层,所述对应于NMOS器件的第一功函数层位于所述第一阻挡层上;
所述第一阻挡层用于防止后续形成的金属栅极中的金属渗透到鳍中,或者是后续形成的各个第一功函数层中的金属向周围扩散。
在本实施例中,所述第一阻挡层可以采用Ta或TaN作为材料。
形成于PMOS晶体管的第一阻挡层上的对应于PMOS晶体管的第二功函数层;所述对应于PMOS晶体管的第二功函数层可以对形成的PMOS晶体管的功函数进行一个总体的调整,例如,将PMOS区域内的PMOS晶体管的功函数均下调一定大小。
形成于PMOS晶体管对应于PMOS晶体管的第二功函数层上的第二阻挡层,所述第二阻挡层也用于防止后续形成的金属栅极中的金属渗透到鳍中,或者是后续形成的各个第二功函数层中的金属向周围扩散。PMOS晶体管的栅极结构位于所述第二阻挡层上。
在本实施例中,所述第二阻挡层也可以采用Ta或TaN作为材料。
此外需要说明的是,本发明所述的FinFET器件可以但不限于采用上述的形成方法得到。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (11)

1.一种FinFET器件的形成方法,其特征在于,包括:
提供衬底,所述衬底包括NMOS器件区域和PMOS器件区域,所述NMOS器件区域的衬底用于形成多个阈值电压不同的NMOS晶体管,所述PMOS器件区域的衬底用于形成多个阈值电压不同的PMOS晶体管;
在所述NMOS器件区域和PMOS器件区域的衬底上分别形成多个鳍;
对所述PMOS器件区域中的鳍进行离子掺杂,以在PMOS器件区域中的鳍中分别形成掺杂浓度不同的掺杂区;
在位于所述NMOS器件区域以及PMOS器件区域中的多个鳍上分别形成横跨所述鳍的多个伪栅结构,其中,位于PMOS器件区域中的多个伪栅结构分别对应于各个掺杂浓度不同的掺杂区;
在伪栅结构的两侧的鳍中分别形成对应于NMOS以及PMOS的源极和漏极;
在所述NMOS器件区域和PMOS器件区域的衬底、源极、漏极、鳍以及伪栅结构上形成露出伪栅结构的互连介质层;
去除NMOS器件区域以及PMOS器件区域的伪栅结构,以在所述互连介质层中形成多个露出鳍的开口;
在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于不同阈值电压的第一功函数层;
在所述NMOS器件区域和PMOS器件区域中的开口中形成栅极结构。
2.如权利要求1所述的形成方法,其特征在于,对PMOS器件区域中的鳍进行离子掺杂的步骤包括:采用As离子或P离子对PMOS器件区域中的鳍进行离子掺杂,以形成所述掺杂浓度不同掺杂区。
3.如权利要求1所述的形成方法,其特征在于,对所述PMOS器件区域中的鳍进行离子掺杂的步骤之前,所述形成方法还包括:
对位于PMOS器件区域中的鳍进行掺杂,以形成PMOS晶体管的阱层;
对位于NMOS器件区域中的鳍进行掺杂,以形成NMOS晶体管的阱层。
4.如权利要求1所述的形成方法,其特征在于,形成露出伪栅结构的互连介质层的步骤包括:
在所述伪栅结构、源极、漏极、鳍以及衬底上覆盖互连介质层;
平坦化所述互连介质层,以去除部分互连介质层,使所述伪栅结构从所述互连介质层中露出。
5.如权利要求1所述的形成方法,其特征在于,形成伪栅结构的步骤包括:
在位于所述NMOS器件区域以及PMOS器件区域中的多个鳍上分别形成横跨所述鳍的多个伪栅氧化层;
形成对应于所述伪栅氧化层的多晶硅层,所述多晶硅层与所述伪栅氧化层共同构成所述伪栅结构;
去除伪栅结构的步骤包括:去除所述多晶硅层以及伪栅氧化层。
6.如权利要求1所述的形成方法,其特征在于,去除伪栅结构的步骤之后,在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于不同阈值电压的第一功函数层的步骤之前,所述形成方法还包括:
在NMOS器件区域和PMOS器件区域的开口中露出的鳍上分别形成氧化过渡层;
在氧化过渡层上形成高k介质层;
在高k介质层上形成第一阻挡层;
在PMOS器件区域的第一阻挡层上形成对应于PMOS晶体管的第二功函数层;
在所述对应于PMOS晶体管的第二功函数层上形成第二阻挡层。
7.如权利要求6所述的形成方法,其特征在于,所述氧化过渡层采用二氧化硅作为材料;所述第一阻挡层和所述第二阻挡层均采用Ta或TaN作为材料;所述高k介质层采用LaO、AlO、BaZrO、HfZrO、HfZrON、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4中的一种作为材料;所述对应于PMOS晶体管的第二功函数层采用TiN作为材料。
8.如权利要求1所述的形成方法,其特征在于,在位于NMOS器件区域的多个开口中的鳍表面分别形成对应于NMOS器件的不同功函数的第一功函数层的步骤包括:对所述不同功函数的第一功函数层分别进行离子掺杂。
9.如权利要求8所述的形成方法,其特征在于,采用N、H、F、O、Al、As、Ta、C、P离子中的一种离子,或者几种离子的组合对所述对应于NMOS器件的不同功函数的第一功函数层分别进行离子掺杂。
10.如权利要求1所述的形成方法,其特征在于,形成栅极结构的步骤包括:
在所述开口中形成栅极介质层;
在所述栅极介质层中形成金属栅极。
11.如权利要求1所述的形成方法,其特征在于,形成NMOS晶体管或者PMOS晶体管的源极和漏极的步骤包括:
在所述NMOS晶体管或者PMOS晶体管的伪栅结构两侧的鳍中形成开口;
在所述开口中通过外延生长的方式形成源极或漏极。
CN201510005573.8A 2015-01-06 2015-01-06 FinFET器件及其形成方法 Active CN105826372B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201510005573.8A CN105826372B (zh) 2015-01-06 2015-01-06 FinFET器件及其形成方法
US14/987,192 US9514994B2 (en) 2015-01-06 2016-01-04 FinFET device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510005573.8A CN105826372B (zh) 2015-01-06 2015-01-06 FinFET器件及其形成方法

Publications (2)

Publication Number Publication Date
CN105826372A CN105826372A (zh) 2016-08-03
CN105826372B true CN105826372B (zh) 2018-12-21

Family

ID=56286882

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510005573.8A Active CN105826372B (zh) 2015-01-06 2015-01-06 FinFET器件及其形成方法

Country Status (2)

Country Link
US (1) US9514994B2 (zh)
CN (1) CN105826372B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121040B2 (en) 2016-09-30 2021-09-14 Intel Corporation Multi voltage threshold transistors through process and design-induced multiple work functions
US10354997B2 (en) 2017-04-28 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device with replacement gates
CN108878362B (zh) * 2017-05-12 2020-11-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109087887B (zh) * 2017-06-14 2021-04-02 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN109148290B (zh) * 2017-06-28 2020-12-04 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US11404413B2 (en) * 2017-11-08 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI750316B (zh) 2018-02-09 2021-12-21 聯華電子股份有限公司 1-1強制性鰭狀堆疊反向器及形成強制性鰭狀堆疊反向器的方法
CN108511441B (zh) * 2018-03-14 2020-10-09 上海华力集成电路制造有限公司 具有hkmg的sram
CN110581102B (zh) * 2018-06-07 2021-11-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10573723B1 (en) * 2018-08-23 2020-02-25 International Business Machines Corporation Vertical transport FETs with asymmetric channel profiles using dipole layers
US10867101B1 (en) * 2020-02-24 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction between two transistor devices on a same continuous fin

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102640273A (zh) * 2009-12-01 2012-08-15 国际商业机器公司 用于在相同芯片上形成具有多个掺杂的鳍片场效晶体管的方法及结构
US20130045576A1 (en) * 2011-08-19 2013-02-21 Shih-Hung Tsai Method for fabricating field effect transistor with fin structure
CN103229282A (zh) * 2010-09-10 2013-07-31 应用材料公司 调整半导体器件中的阈值电压的方法
CN103887340A (zh) * 2012-12-19 2014-06-25 台湾积体电路制造股份有限公司 用于FinFET的N金属
CN103930998A (zh) * 2011-10-27 2014-07-16 国际商业机器公司 薄体mosfet的阈值电压调节

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8835246B2 (en) * 2011-02-25 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with resistors and methods of forming the same
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9478660B2 (en) * 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102640273A (zh) * 2009-12-01 2012-08-15 国际商业机器公司 用于在相同芯片上形成具有多个掺杂的鳍片场效晶体管的方法及结构
CN103229282A (zh) * 2010-09-10 2013-07-31 应用材料公司 调整半导体器件中的阈值电压的方法
US20130045576A1 (en) * 2011-08-19 2013-02-21 Shih-Hung Tsai Method for fabricating field effect transistor with fin structure
CN103930998A (zh) * 2011-10-27 2014-07-16 国际商业机器公司 薄体mosfet的阈值电压调节
CN103887340A (zh) * 2012-12-19 2014-06-25 台湾积体电路制造股份有限公司 用于FinFET的N金属

Also Published As

Publication number Publication date
US20160197017A1 (en) 2016-07-07
CN105826372A (zh) 2016-08-03
US9514994B2 (en) 2016-12-06

Similar Documents

Publication Publication Date Title
CN105826372B (zh) FinFET器件及其形成方法
JP6371822B2 (ja) 半導体チップ
US8916444B2 (en) Non-uniform channel junction-less transistor
US9472615B2 (en) Super junction LDMOS finFET devices
US10032873B2 (en) Semiconductor device and method of forming the same
US8669163B2 (en) Tunnel field-effect transistors with superlattice channels
US8999793B2 (en) Multi-gate field-effect transistor process
TWI426607B (zh) 積體電路、鰭式場效電晶體及其製造方法
US11631768B2 (en) Semiconductor device and method of manufacturing thereof
US11804484B2 (en) FinFet isolation structure and method for fabricating the same
KR20130141327A (ko) 높은 이동도 및 변형 채널을 갖는 FinFET
US9093477B1 (en) Implantation processing step for a recess in finFET
US12015069B2 (en) Gate-all-around field effect transistor having multiple threshold voltages
US11637204B2 (en) FinFET isolation structure
US8765540B2 (en) Semiconductor structure and method for manufacturing the same
US11245020B2 (en) Gate-all-around field effect transistor having multiple threshold voltages
KR20180017761A (ko) 벌크 실리콘 기반의 실리콘 게르마늄 p-채널 삼중 게이트 트랜지스터 및 그 제조방법
CN105845546A (zh) 照光的外延制作工艺
Mathew et al. FinFET with isolated n+ and p+ gate regions strapped with metal and polysilicon
CN104064467B (zh) 鳍式场效应晶体管的形成方法
CN107046005A (zh) 改善器件性能的方法
DE102016115500A1 (de) Gatestruktur, Halbleitervorrichtung und das Verfahren zum Bilden der Halbleitervorrichtung
TW201640677A (zh) 形成鰭片場效電晶體的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20190521

Address after: 201203 6th Floor, Building No. 18, Zhangjiang Road, Zhangjiang High-tech Park, Pudong New Area, Shanghai

Patentee after: SMIC new IC technology research and development (Shanghai) Co., Ltd.

Address before: No. 18 Zhangjiang Road, Pudong New Area, Shanghai

Patentee before: Semiconductor Manufacturing International (Shanghai) Corporation