DE102016101844A1 - Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur - Google Patents
Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur Download PDFInfo
- Publication number
- DE102016101844A1 DE102016101844A1 DE102016101844.9A DE102016101844A DE102016101844A1 DE 102016101844 A1 DE102016101844 A1 DE 102016101844A1 DE 102016101844 A DE102016101844 A DE 102016101844A DE 102016101844 A1 DE102016101844 A1 DE 102016101844A1
- Authority
- DE
- Germany
- Prior art keywords
- silicide
- contact region
- layer
- present
- barrier layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 67
- 238000004519 manufacturing process Methods 0.000 title claims description 16
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 46
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 46
- 150000002500 ions Chemical class 0.000 claims abstract description 28
- 239000000758 substrate Substances 0.000 claims abstract description 24
- 238000004544 sputter deposition Methods 0.000 claims abstract description 15
- 238000000034 method Methods 0.000 claims description 106
- 230000008569 process Effects 0.000 claims description 92
- 229910052751 metal Inorganic materials 0.000 claims description 35
- 239000002184 metal Substances 0.000 claims description 35
- 230000004888 barrier function Effects 0.000 claims description 32
- 239000004020 conductor Substances 0.000 claims description 14
- 239000000126 substance Substances 0.000 claims description 14
- 238000000137 annealing Methods 0.000 claims description 13
- 239000010936 titanium Substances 0.000 claims description 10
- 238000002679 ablation Methods 0.000 claims description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 8
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 6
- 230000001788 irregular Effects 0.000 claims description 6
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 5
- 229910052786 argon Inorganic materials 0.000 claims description 5
- 229910052743 krypton Inorganic materials 0.000 claims description 5
- 229910052754 neon Inorganic materials 0.000 claims description 5
- 229910052719 titanium Inorganic materials 0.000 claims description 5
- 229910052724 xenon Inorganic materials 0.000 claims description 5
- 229910017052 cobalt Inorganic materials 0.000 claims description 4
- 239000010941 cobalt Substances 0.000 claims description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 4
- 239000010949 copper Substances 0.000 claims description 4
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 4
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- 239000010937 tungsten Substances 0.000 claims description 4
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 2
- 229910052802 copper Inorganic materials 0.000 claims description 2
- 238000011049 filling Methods 0.000 claims description 2
- 229910052759 nickel Inorganic materials 0.000 claims description 2
- 229910052697 platinum Inorganic materials 0.000 claims description 2
- 229910052715 tantalum Inorganic materials 0.000 claims description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical group [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 119
- 239000000463 material Substances 0.000 description 31
- 239000002070 nanowire Substances 0.000 description 23
- 125000006850 spacer group Chemical group 0.000 description 19
- 239000002019 doping agent Substances 0.000 description 15
- 238000005530 etching Methods 0.000 description 14
- 229910052710 silicon Inorganic materials 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- 229920002120 photoresistant polymer Polymers 0.000 description 10
- 238000001312 dry etching Methods 0.000 description 8
- 238000011065 in-situ storage Methods 0.000 description 8
- 238000002955 isolation Methods 0.000 description 8
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 7
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 238000002513 implantation Methods 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 229910021341 titanium silicide Inorganic materials 0.000 description 4
- 229910052785 arsenic Inorganic materials 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000000407 epitaxy Methods 0.000 description 3
- 230000003628 erosive effect Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- -1 boron ions Chemical class 0.000 description 2
- 238000000708 deep reactive-ion etching Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 230000000750 progressive effect Effects 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 239000002998 adhesive polymer Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 125000000896 monocarboxylic acid group Chemical group 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02631—Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
- H01L21/28044—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
- H01L21/28061—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
- H01L21/76889—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
- H01L21/845—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
- H01L27/1211—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Geometry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Memories (AREA)
Abstract
Eine Halbleitervorrichtung enthält ein Halbleitersubstrat, eine Kontaktregion, die in dem Halbleitersubstrat vorhanden ist, und ein Silicid, das auf einer texturierten Oberfläche der Kontaktregion vorhanden ist. Mehrere Sputter-Ionen sind zwischen dem Silicid und der Kontaktregion vorhanden. Da die Oberfläche der Kontaktregion texturiert wird, wird die durch das Silicid bereitgestellte Kontaktfläche entsprechend vergrößert, wodurch der Widerstand einer Interconnect-Struktur in der Halbleitervorrichtung reduziert wird.
Description
- VERWANDTE ANMELDUNGEN
- Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung mit der Seriennummer 62/216,902, eingereicht am 10. September 2015, die hiermit durch Bezugnahme in den vorliegenden Text aufgenommen wird.
- ALLGEMEINER STAND DER TECHNIK
- Die Industrie der integrierten Halbleiterschaltkreise (IC) ist exponentiell gewachsen. Moderne integrierte Schaltkreise bestehen aus buchstäblich Millionen aktiver Bauelemente, wie zum Beispiel Transistoren und Kondensatoren. Technische Fortschritte bei den IC-Materialien und der IC-Projektierung haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise hat als die vorherige Generation. Diese Bauelemente sind zunächst voneinander isoliert, werden aber später durch mehrere Metallschichten zu funktionalen Schaltkreisen miteinander verbunden. In dem Maße, wie die ICs immer komplexer werden, wird auch die Interconnect-Struktur zunehmend komplex, was eine zunehmende Anzahl von Metallschichten zur Folge hat.
- Interconnect-Strukturen können seitliche Interconnections enthalten, wie zum Beispiel Metallleitungen (Verdrahtungen), und vertikale Interconnections, wie zum Beispiel leitfähige Durchkontaktierungen und Kontakte. Jedoch begrenzen komplexe Interconnect-Verbindungen die Leistung und die Dichte moderner integriert Schaltkreise.
- KURZE BESCHREIBUNG DER ZEICHNUNGEN
- Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es wird darauf hingewiesen, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen veranschaulichter Strukturelemente können im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
-
1A bis1E sind schematische schräge Ansichten eines Verfahrens zur Herstellung einer FinFET-Vorrichtung auf verschiedenen Stufen gemäß einigen Ausführungsformen der vorliegenden Offenbarung. -
2A bis2F sind lokale Querschnittsansichten eines Verfahrens zum Herstellen einer Interconnect-Struktur in einer FinFET-Vorrichtung. -
3 ist eine lokale Querschnittsansicht der Interconnect-Struktur einiger Ausführungsformen der Offenbarung. -
4A bis4F sind schematische schräge Ansichten eines Verfahrens zur Herstellung einer Halbleitervorrichtung auf verschiedenen Stufen gemäß einigen Ausführungsformen der vorliegenden Offenbarung. -
5 ist eine lokale Querschnittsansicht der Interconnect-Struktur einiger Ausführungsformen der Offenbarung. - DETAILLIERTE BESCHREIBUNG
- Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann die Ausbildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Strukturelemente in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen umfassen, bei denen zusätzliche Strukturelemente zwischen den ersten und zweiten Strukturelementen ausgebildet sein können, so dass die ersten und zweiten Strukturelemente nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
- Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb”, „unter”, „unterer”, „oberhalb”, „oberer” und dergleichen, im vorliegenden Text verwendet werden, um die Beschreibung zu vereinfachen, um die Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen zu beschreiben, wie in den Figuren veranschaulicht. Die räumlich relativen Begriffe sollen neben der in den Figuren gezeigten Ausrichtung noch weitere Ausrichtungen der Vorrichtung während des Gebrauchs oder Betriebes umfassen. Die Vorrichtung kann auch anders ausgerichtet (90 Grad gedreht oder anders ausgerichtet) sein, und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
- In dem Maße, wie Halbleiterbauelemente immer kleiner werden, ist es immer schwieriger geworden, die Leitfähigkeitsanforderungen sowie die Zuverlässigkeit bei der Fertigung von Mehrfachmetallisierungen zu erfüllen. Zum Beispiel erfordert die Herstellung einer Interconnect-Struktur, die Metallleitungen und leitfähige Durchkontaktierungen enthält, die Metallleitungen von verschiedenen Schichten von Bauelementen integrierter Schaltkreise (IC) verbinden, allgemein einen geringen Widerstand, aber auch eine Sperrschicht, die verhindert, dass das leitfähige Metall in den leitfähigen Durchkontaktierungen in die ILD-Schicht diffundiert. Um die RC-Verzögerung in den IC-Bauelementen zu verringern, spielt die Sperrschicht auch eine Rolle bei der Steuerung des spezifischen Widerstands von Interconnect-Verbindungen.
- Die Offenbarung betrifft ein Verfahren zum Reduzieren des Widerstands einer Interconnect-Struktur in einer Halbleitervorrichtung, wie zum Beispiel einer FinFET-Vorrichtung.
-
1A bis1E sind schematische schräge Ansichten eines Verfahrens zur Herstellung einer FinFET-Vorrichtung auf verschiedenen Stufen gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Wir wenden uns1A zu. Es wird ein Substrat110 bereitgestellt. In einigen Ausführungsformen kann das Substrat110 ein Halbleitermaterial sein und kann bekannte Strukturen enthalten, wie zum Beispiel eine abgestufte Schicht oder ein vergrabenes Oxid. In einigen Ausführungsformen enthält das Substrat110 Volumensilizium, das undotiert oder dotiert sein kann (zum Beispiel vom p-Typ, vom n-Typ oder eine Kombination davon). Es können auch andere Materialien verwendet werden, die für die Herstellung von Halbleitervorrichtungen geeignet sind. Für das Substrat110 könnten alternativ auch andere Materialien, wie zum Beispiel Germanium, Quarz, Saphir und Glas, verwendet werden. Alternativ kann das Siliziumsubstrat110 eine aktive Schicht eines Halbleiter-auf-Isolator(SOI)-Substrats oder einer Mehrschichtstruktur sein, wie zum Beispiel einer Silizium-Germanium-Schicht, die auf einer Volumensiliziumschicht ausgebildet ist. - Mehrere p-Muldenregionen
116 und mehrere n-Muldenregionen112 werden in dem Substrat110 gebildet. Eine der n-Muldenregionen112 wird zwischen zwei der p-Muldenregionen116 gebildet. In den p-Muldenregionen116 wird P-Dotandenmaterial implantiert, wie zum Beispiel Bor-Ionen, und in die n-Muldenregionen112 wird N-Dotandenmaterial implantiert, wie zum Beispiel Arsen-Ionen. Während der Implantierung der p-Muldenregionen116 werden die n-Muldenregionen112 mit Masken (wie zum Beispiel Photoresist) abgedeckt, und während der Implantierung der n-Muldenregionen112 werden die p-Muldenregionen116 mit Masken (wie zum Beispiel Photoresist) abgedeckt. - Mehrere Halbleiterrippen
122 ,124 werden auf dem Substrat110 gebildet. Die Halbleiterrippen122 werden auf den p-Muldenregionen116 gebildet, und die Halbleiterrippen124 werden auf der n-Muldenregionen112 gebildet. In einigen Ausführungsformen enthalten die Halbleiterrippen122 ,124 Silizium. Es ist zu beachten, dass die Anzahl der Halbleiterrippen122 ,124 in1A veranschaulichend ist und nicht so ausgelegt werden darf, als begrenze sie den beanspruchten Schutzumfang der vorliegenden Offenbarung. Der Durchschnittsfachmann kann gemäß der tatsächlichen Situation eine geeignete Anzahl der Halbleiterrippen122 ,124 auswählen. - Die Halbleiterrippen
122 ,124 können zum Beispiel durch Strukturieren und Ätzen des Substrats110 unter Verwendung von Fotolithografie-Techniken gebildet werden. In einigen Ausführungsformen wird eine Schicht aus (nicht gezeigtem) Photoresistmaterial über dem Substrat110 abgeschieden. Die Schicht aus Photoresistmaterial wird gemäß einer gewünschten Struktur (in diesem Fall die Halbleiterrippen122 ,124 ) bestrahlt (belichtet) und entwickelt, um einen Abschnitt des Photoresistmaterials zu entfernen. Das verbleibende Photoresistmaterial schützt das darunterliegende Material vor anschließenden Verarbeitungsschritten, wie zum Beispiel Ätzen. Es ist anzumerken, dass auch andere Masken, wie zum Beispiel eine Oxid- oder Siliziumnitridmaske, in dem Ätzprozess verwendet werden können. - Mehrere Isolierungsstrukturen
130 werden auf dem Substrat110 gebildet. Die Isolierungsstrukturen130 , die als eine Flachgrabenisolierung (Shallow Trench Isolation, STI) um die Halbleiterrippen122 ,124 fungieren, können durch chemische Aufdampfungs(CVD)-Techniken unter Verwendung von Tetra-Ethyl-Ortho-Silicat (TEOS) und Sauerstoff als einem Vorläufer ausgebildet werden. In einigen anderen Ausführungsformen sind die Isolierungsstrukturen130 Isolatorschichten eines SOI-Wafers. - Wir wenden uns
1B zu. Mindestens ein Dummy-Gate142 wird auf Abschnitten der Halbleiterrippen122 ,124 gebildet und legt andere Abschnitte der Halbleiterrippen122 ,124 frei. Das Dummy-Gate142 kann so ausgebildet werden, dass es mehrere Halbleiterrippen122 ,124 kreuzt. Mehrere Gate-Abstandshalter140 werden über dem Substrat110 und entlang der Seite des Dummy-Gate142 gebildet. In einigen Ausführungsformen können die Gate-Abstandshalter140 Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder andere geeignete Materialien enthalten. Die Gate-Abstandshalter140 können eine Einzelschicht- oder eine Mehrschichtstruktur enthalten. Eine Deckschicht der Gate-Abstandshalter140 kann durch CVD, PVD, ALD oder andere geeignete Techniken gebildet werden. Dann wird ein anisotropes Ätzen an der Deckschicht ausgeführt, um ein Paar der Gate-Abstandshalter140 auf zwei Seiten des Dummy-Gate142 auszubilden. In einigen Ausführungsformen werden die Gate-Abstandshalter140 dafür verwendet, anschließend ausgebildete dotierte Regionen, wie zum Beispiel Source/Drain-Regionen, zu versetzen. Die Gate-Abstandshalter140 können des Weiteren dafür verwendet werden, das Source/Drain-Regions(Übergangs)-Profil zu gestalten oder zu modifizieren. - Wir wenden uns
1C zu. Ein Teil der Halbleiterrippen122 ,124 , die durch das Dummy-Gate142 und die Gate-Abstandshalter142 frei gelegt werden, werden teilweise entfernt (oder teilweise mit Gräben versehen), um Gräben126 in den Halbleiterrippen122 ,124 zu bilden. In einigen Ausführungsformen werden die Gräben126 mit den dielektrischen Rippenseitenwandstrukturen125 als ihrem oberen Abschnitt gebildet. In einigen Ausführungsformen verlaufen Seitenwände der Gräben126 im Wesentlichen und vertikal parallel zueinander. In einigen anderen Ausführungsformen werden die Gräben126 mit einem nicht-vertikalen parallelen Profil gebildet. - In
1C enthält die Halbleiterrippe122 mindestens einen mit Gräben versehenen Abschnitt122r und mindestens einen Kanalabschnitt122c . Der Graben126 wird auf dem mit Gräben versehenen Abschnitt122r gebildet, und das Dummy-Gate142 bedeckt einen Abschnitt des Kanalabschnitts122c . Die Halbleiterrippe124 enthält mindestens einen mit Gräben versehenen Abschnitt124r und mindestens einen Kanalabschnitt124c . Der Graben126 wird auf dem mit Gräben versehenen Abschnitt124r gebildet, und das Dummy-Gate142 bedeckt einen Abschnitt des Kanalabschnitts124c . - Der Grabenbildungsprozess kann einen Trockenätzprozess, einen Nassätzprozess und/oder eine Kombination davon enthalten. Der Grabenbildungsprozess kann auch ein selektives Nassätzen oder ein selektives Trockenätzen enthalten. Eine Nassätzlösung enthält ein Tetramethylammoniumhydroxid (TMAH), eine HF/HNO3/CH3COOH-Lösung oder eine andere geeignete Lösung. Die Trocken- und Nassätzprozesse haben Ätzparameter, die abgestimmt werden können, wie zum Beispiel die verwendeten Ätzmittel, Ätztemperatur, Ätzlösungskonzentration, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannleistung, Ätzmittelströmungsrate und andere geeignete Parameter. Zum Beispiel kann eine Nassätzlösung NH4OH, KOH (Kaliumhydroxid), HF (Fluorwasserstoffsäure), TMAH (Tetramethylammoniumhydroxid) und andere geeignete Nassätzlösungen oder Kombinationen davon enthalten. Zu den Trockenätzprozessen gehört ein vorgespannter Plasmaätzprozess, der mit einer chemischen Zusammensetzung auf Chlorbasis arbeitet. Zu weiteren Trockenätzgasen gehören CF4, NF3, SF6 und He. Trockenätzen kann auch anisotrop unter Verwendung solcher Mechanismen wie DRIE (tiefes reaktives Ionenätzen) ausgeführt werden.
- Wir wenden uns
1D zu. Mehrere epitaxiale Strukturen160 werden jeweils in den Gräben126 der Halbleiterrippen124 ausgebildet, und mehrere epitaxiale Strukturen150 werden jeweils in den Gräben126 der Halbleiterrippen122 ausgebildet. Die Epitaxialstruktur160 ist von der benachbarten Epitaxialstruktur150 getrennt. Die epitaxialen Strukturen150 und160 ragen von den Gräben R hervor. Die epitaxialen Strukturen160 können epitaxiale Strukturen vom n-Typ sein, und die epitaxialen Strukturen150 können epitaxiale Strukturen vom p-Typ sein. Die epitaxialen Strukturen150 und160 können unter Verwendung eines oder mehrerer Epitaxie- oder epitaxialer (epi) Prozesse ausgebildet werden, so dass Si-Strukturelemente, SiGe-Strukturelemente und/oder andere geeignete Strukturelemente in einem kristallinen Zustand auf den Halbleiterrippen122 ,124 ausgebildet werden können. In einigen Ausführungsformen sind die Gitterkonstanten der epitaxialen Strukturen150 und160 von den Gitterkonstanten der Halbleiterrippen122 ,124 verschieden, und die epitaxialen Strukturen150 und160 werden gedehnt oder gespannt, um eine Trägermobilität der SRAM-Vorrichtung zu aktivieren und die Leistung der Vorrichtung zu steigern. Die epitaxialen Strukturen150 und160 können Halbleitermaterial, wie zum Beispiel Germanium (Ge) oder Silizium (Si), oder Verbundhalbleitermaterialien, wie zum Beispiel Gallium-Arsenid (GaAs), Aluminium-Gallium-Arsenid (AlGaAs), Silizium-Germanium (SiGe), Siliziumcarbid (SiC) oder Gallium-Arsenid-Phosphid (GaAsP) enthalten. - In einigen Ausführungsformen werden die epitaxialen Strukturen
150 und160 in verschiedenen Epitaxieprozessen gebildet. Die epitaxialen Strukturen160 können SiP, SiC, SiPC, Si, III-V-Verbundhalbleitermaterialien oder Kombinationen davon enthalten, und die epitaxialen Strukturen150 können SiGe, SiGeC, Ge, Si, III-V-Verbundhalbleitermaterialien oder Kombinationen davon enthalten. Während der Herstellung der epitaxialen Strukturen160 können Störatome vom n-Typ, wie zum Beispiel Phosphor oder Arsen, mit voranschreitender Epitaxie dotiert werden. Wenn zum Beispiel die Epitaxialstruktur160 SiC oder Si enthält, so werden Störatome vom n-Typ dotiert. Darüber hinaus können während der Herstellung der epitaxialen Strukturen150 Störatome vom p-Typ, wie zum Beispiel Bor oder BF2, mit voranschreitender Epitaxie dotiert werden. Wenn zum Beispiel die Epitaxialstruktur150 SiGe enthält, so werden Störatome vom p-Typ dotiert. Die Epitaxieprozesse enthalten CVD-Abscheidungstechniken (zum Beispiel Dampfphasenepitaxie (VPE) und/oder Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie und/oder andere geeignete Prozesse. Der Epitaxieprozess kann gasförmige und/oder flüssige Vorläufer verwenden, die mit der Zusammensetzung der Halbleiterrippen122 ,124 (zum Beispiel Silizium) interagieren. So kann ein gedehnter Kanal erreicht werden, um die Trägermobilität zu erhöhen und die Leistung der Vorrichtung zu steigern. Die epitaxialen Strukturen150 und160 können in-situ dotiert werden. Wenn die epitaxialen Strukturen150 und160 nicht in-situ dotiert werden, so wird ein zweiter Implantierungsprozess (d. h. ein Übergangsimplantierungsprozess) ausgeführt, um die epitaxialen Strukturen150 und160 zu dotieren. Ein oder mehrere Ausheilungsprozesse können ausgeführt werden, um die epitaxialen Strukturen150 und160 zu aktivieren. Die Ausheilungsprozesse enthalten schnelles thermisches Ausheilen (RTA) und/oder Laser-Ausheilungsprozesse. - In einigen Ausführungsformen hat die Epitaxialstruktur
150 einen oberen Abschnitt und einen Körperabschnitt, der zwischen dem oberen Abschnitt und dem Substrat110 angeordnet ist. Der obere Abschnitt ist breiter als der Körperabschnitt. Die Epitaxialstruktur160 hat einen oberen Abschnitt und einen Körperabschnitt, der zwischen dem oberen Abschnitt und dem Substrat110 angeordnet. Der obere Abschnitt ist breiter als der Körperabschnitt. Die epitaxialen Strukturen150 und160 werden als Source/Drain-Elektroden der FinFET-Vorrichtung100 verwendet. - In einigen Ausführungsformen haben die epitaxialen Strukturen
150 und160 verschiedene Formen. Die oberen Abschnitte der epitaxialen Strukturen160 können mindestens eine im Wesentlichen facettierte Oberfläche haben, die sich oberhalb der Isolierungsstrukturen130 befindet, und die oberen Abschnitte der epitaxialen Strukturen150 können mindestens eine nicht-facettierte (oder runde) Oberfläche haben, die sich oberhalb der Isolierungsstrukturen130 befindet, und der beanspruchte Schutzumfang ist in dieser Hinsicht nicht beschränkt. - Wir wenden uns
1E zu. Nach die epitaxialen Strukturen150 und160 gebildet wurden, wird das Dummy-Gate142 entfernt, wodurch ein Graben zwischen den Gate-Abstandshaltern140 gebildet wird. Die Isolierungsstruktur130 und ein Abschnitt der Halbleiterrippen122 ,124 wird aus dem Graben frei gelegt. Das Dummy-Gate142 kann durch Ausführen eines oder mehrerer Ätzprozesse entfernt werden. Ein Gate-Stapel170 wird gebildet und füllt den Graben. Der Gate-Stapel170 enthält eine Gate-Elektrode und ein Gate-Dielektrikum, das zwischen der Gate-Elektrode und den Isolierungsstrukturen130 angeordnet ist. Das Gate-Dielektrikum und die Gate-Elektrode können jeweils durch einen Abscheidungsprozess gebildet werden, wie zum Beispiel einen ALD-Prozess, einen CVD-Prozess, einen PVD-Prozess oder einen Aufsputterprozess. Das Gate-Dielektrikum besteht aus dielektrischem Material, wie zum Beispiel Siliziumnitrid, Siliziumoxinitrid, Dielektrikum mit einer hohen Dielektrizitätskonstante (hohem k-Wert) und/oder Kombinationen davon. In einigen Ausführungsformen ist die Gate-Elektrode eine Metallelektrode. In einigen Ausführungsformen enthält der Gate-Stapel170 des Weiteren eine Deckschicht auf der Gate-Elektrode. - Nach der Herstellung der FinFET-Vorrichtung
100 wird eine Interconnect-Struktur gebildet, um die Elektroden der FinFET-Vorrichtung mit anderen Vorrichtungen zu verbinden. Die Details zum Herstellen der Interconnect-Struktur sind in2A bis2F besprochen, wobei2A bis2F lokale Querschnittsansichten eines Verfahrens zum Herstellen einer Interconnect-Struktur in einer FinFET-Vorrichtung sind. - Wir wenden uns
2A zu. Eine dielektrische Schicht220 wird auf der FinFET-Vorrichtung gebildet. Die dielektrische Schicht220 bedeckt die Epitaxialstruktur210 und die Rippe120 um die Epitaxialstruktur210 . Die Rippe120 kann eine der Rippen122 ,124 in1D sein, und die Epitaxialstruktur210 kann eine der epitaxialen Strukturen150 und160 sein, die in1D besprochen sind. Die dielektrische Schicht220 kann ein Zwischenschichtdielektrikum (Interlayer Dielectric, ILD) sein und kann ein Oxidmaterial oder ein Material mit niedrigem k-Wert enthalten. Die dielektrische Schicht220 kann zum Beispiel durch einen chemischen Aufdampfungs(CVD)-Verarbeitungsschritt, einen Aufschleuder-Verarbeitungsschritt oder Kombination davon ausgebildet werden. Die dielektrische Schicht220 dient dazu, leitfähige Strukturelemente zu isolieren, die auf verschiedenen und/oder denselben Schichten ausgebildet sind. - Eine Öffnung
222 wird in der dielektrischen Schicht220 gebildet. In einigen Ausführungsformen gibt es mehrere der Öffnungen, die in der dielektrischen Schicht220 ausgebildet sind. Die Öffnungen222 können zum Beispiel Kontaktöffnungen, Durchkontaktierungsöffnungen, Single-Damascene-Öffnungen, Dual-Damascene-Öffnungen oder Kombinationen davon sein. Die Öffnungen222 können zum Beispiel durch Ausbilden einer (nicht gezeigten) strukturierten Photoresistschicht über der dielektrischen Schicht220 und Anwenden eines Trockenätz-Verarbeitungsschrittes zum Entfernen von Abschnitten der dielektrischen Schicht220 zum Definieren der Öffnung222 unter Verwendung der (nicht gezeigten) strukturierten Photoresistschicht als eine Maske gebildet werden. Es können verschiedene geeignete Trockenätzprozesse verwendet werden. Nach dem Trockenätz-Verarbeitungsschritt wird die (nicht gezeigte) strukturierte Photoresistschicht zum Beispiel durch einen photolithografischen Abtragsprozess entfernt. Ein Teil der Epitaxialstruktur210 wird auch während des Ausbildens der Öffnung222 entfernt. Eine Oxidschicht212 wird auf der Oberfläche der Epitaxialstruktur210 gebildet, wenn die Epitaxialstruktur210 frei liegt und mit der Luft reagiert. - Wir wenden uns
2B zu, wo ein Abtragsprozess zum Entfernen der auf der frei gelegten Epitaxialstruktur210 vorhandenen Oxidschicht212 ausgeführt wird. Der Abtragsprozess kann ein physischer Abtragsprozess sein, wie zum Beispiel ein Sputterprozess. Während des physischen Abtragsprozesses treffen die energetischen Ionen214 auf den frei gelegten Abschnitt der Epitaxialstruktur210 und erodieren die Oxidschicht212 darauf. Die energetischen Ionen214 können zum Beispiel Argon(Ar)-Ionen, Neon(Ne)-Ionen, Krypton(Kr)- oder Xenon(Xe)-Ionen sein. - Partikel der Oxidschicht
212 und der Epitaxialstruktur210 werden aufgrund des Beschusses mit den energetischen Ionen214 losgelöst. Die verbleibende Oxidschicht212 ist nach dem Abtragsprozess aufgebrochen und diskontinuierlich. Das Loslösen der Partikel der Oxidschicht212 und der Epitaxialstruktur210 führt zu einer rauen und unregelmäßigen Oberfläche der Epitaxialstruktur210 . In einigen Ausführungsformen werden mehrere Aussparungen R auf der Oberseite der Epitaxialstruktur210 gebildet. Die Aussparungen R sind nach dem Zufallsprinzip auf der Oberfläche der Epitaxialstruktur210 angeordnet. Die Dichte der Aussparungen R auf der Oberfläche der Epitaxialstruktur210 ist ebenso zufällig. Die Tiefe einer jeden der Aussparungen R liegt in einem Bereich von etwa 1,5 nm bis etwa 3,5 nm, und die Tiefendifferenz der Aussparungen R liegt in einem Bereich von etwa 0,5 nm bis etwa 3 nm, was der HF-Leistung beim Erzeugen der energetischen Ionen214 entspricht. In einigen Ausführungsformen ist die HF-Leistung des Sputterprozesses höher als 500 W, und die Tiefendifferenz der Aussparungen R auf der Epitaxialstruktur210 liegt in einem Bereich von etwa 1,5 nm bis etwa 3 nm. In einigen Ausführungsformen liegt die HF-Leistung des Sputterprozesses unter 400 W, und die Tiefendifferenz der Aussparungen R liegt in einem Bereich von etwa 0,5 nm bis etwa 1,5 nm. Die Tiefendifferenz einer FinFET-Vorrichtung vom p-Typ ist etwa 2 nm bis etwa 20 nm größer als die des FinFET vom n-Typ, weil die Ätzrate der Epitaxialstruktur vom p-Typ, wie zum Beispiel SiGe, größer ist als die Ätzrate der Epitaxialstruktur vom n-Typ, wie zum Beispiel SiP. - Während des Prozesses des physischen Abtrags werden einige der energetischen Ionen
214 , wie zum Beispiel Argon(Ar)-Ionen, Neon(Ne)-Ionen, Krypton(Kr)- oder Xenon(Xe)-Ionen, in die Epitaxialstruktur210 geschleudert und werden in die Epitaxialstruktur210 eingebettet. Die Verteilung, einschließlich der Tiefe, der Dichte oder der Menge der Ionen214 , die auf der Oberfläche der Epitaxialstruktur210 vorliegen, ist zufällig und unregelmäßig. Die Verteilung der Ionen214 kann sich auf die HF-Leistung zum Erzeugen der energetischen Ionen214 beziehen. - Wir wenden uns
2C zu. Die auf der Epitaxialstruktur210 zurückbleibende Oxidschicht212 (wie in2B gezeigt) wird durch Ausführen eines chemischen Abtragsprozesses entfernt. Der chemische Abtragsprozess kann unter Verwendung eines chemischen Gemisches ausgeführt werden, das NF3 und NH3 enthält. Jedoch kann auch eine andere geeignete Chemikalie zum Abtragen der Oxidschicht212 ohne Zerstören der Epitaxialstruktur210 in dem chemischen Abtragsprozess verwendet werden. - Die Oxidschicht
212 wird durch Ausführen sowohl des physischen Abtragsprozesses als auch des chemischen Abtragsprozesses entfernt. Der physische Abtragsprozess wird dafür verwendet, die Oberfläche der Epitaxialstruktur210 zu texturieren, wodurch die raue und unregelmäßige Oberfläche der Epitaxialstruktur210 entsteht. Aussparungen R werden auf der Oberfläche der Epitaxialstruktur210 gebildet, und eine kleine Menge der Ionen214 wird während des physischen Abtragsprozesses in die Epitaxialstruktur210 geschleudert. Die Oberfläche der Epitaxialstruktur210 wird durch das Vorhandensein der Aussparungen R vergrößert. Der chemische Abtragsprozess wird dafür verwendet, die Oxidschicht212 zu entfernen. Die Ionen214 reagieren nicht mit der Chemikalie und sind weiterhin in der Epitaxialstruktur210 vorhanden, nachdem der chemische Abtragsprozess ausgeführt wurde. - Wir wenden uns
2D zu. Eine Metallschicht230 wird ausgebildet, um an den Seitenwänden und auf den Böden der Öffnung222 sowie über der dielektrischen Schicht220 eine Auskleidung zu bilden. In einigen Ausführungsformen kann die Metallschicht230 eine Metalllegierungsschicht sein. Die Metallschicht230 enthält Metall zur Verwendung in einer selbstausrichtenden Silicid(Salicid)-Technologie, wie zum Beispiel Titan (Ti), Kobalt (Co), Nickel (Ni), Platin (Pt) oder Wolfram (W). Die Metallschicht230 wird durch einen Abscheidungsprozess gebildet, wie zum Beispiel einen CVD-Prozess, einen PVD-Prozess oder einen Aufsputterprozess. - Eine Sperrschicht
240 wird des Weiteren auf der Metallschicht230 ausgebildet. Die Sperrschicht240 kann als eine Sperre fungieren, um zu verhindern, dass ein anschließend ausgebildeter Leiter in die darunterliegende dielektrische Schicht220 diffundiert. In einigen Ausführungsformen enthält die Sperrschicht240 Tantal (Ta), Titan (Ti) oder dergleichen. In einigen Ausführungsformen hat die Sperrschicht240 eine Dicke von etwa 10 Ångström bis etwa 250 Ångström. In einigen Ausführungsformen ist eine kombinierte Dicke der Metallschicht230 und der Sperrschicht240 kleiner als etwa 120 Ångström, um ein Spaltausfüllproblem während des anschließenden Öffnungsfüllprozesses zu verhindern. Die Sperrschicht240 wird unter Verwendung von PVD, CVD, PECVD, LPCVD oder anderer allgemein bekannter Abscheidungstechniken abgeschieden. - Wir wenden uns
2E zu. Ein Ausheilungsprozess wird ausgeführt, um ein Silicid250 auf der Epitaxialstruktur210 zu bilden. Ein Ausheilungsprozess wird dafür verwendet, den amorphen Silicidfilm in eine widerstandsärmere polykristalline Phase umzuwandeln. Der Salicid-Prozess wird mitunter verwendet, um Silicid-Kontakte zu der Source/Drain-Region zu bilden, um das Problem kritischer Abmessungstoleranzen zu lösen. In einigen Ausführungsformen ist die Metallschicht eine Titanschicht und wird ausgeheilt, um ein Titansilicid250 zu werden. Der Ausheilungsprozess wird ausgeführt, um eine Ti-reiche Phase mit hohem spezifischem Widerstand zu bilden, und die Dicke des Titansilicids liegt in einem Bereich von etwa 30 Ångström bis etwa 160 Ångström. In einigen Ausführungsformen kann das Titansilicid250 TiSi2 sein, da die Epitaxialstruktur210 eine Epitaxialstruktur vom n-Typ ist. In einigen Ausführungsformen kann das Titansilicid250 TiSiGe sein, da die Epitaxialstruktur210 eine Epitaxialstruktur vom p-Typ ist. - Da die Oberfläche der Epitaxialstruktur
210 texturiert ist und die Aussparungen R auf der Epitaxialstruktur210 gebildet werden, ist die Grenzfläche zwischen der Epitaxialstruktur210 und dem Silicid250 unregelmäßig und rau, und die Oberfläche der Epitaxialstruktur210 , die in Kontakt mit dem Silicid250 steht, wird dementsprechend vergrößert. Die Ionen214 aus dem physischen Abtragsprozess bleiben in dem Silicid250 erhalten. Die Tiefendifferenz an der Grenzfläche zwischen der Epitaxialstruktur210 und dem Silicid250 liegt in einem Bereich von etwa 1,5 nm bis etwa 3,5 nm. - Wir wenden uns
2F zu. Ein Leiter260 wird über der Sperrschicht240 gebildet, um die Öffnung222 auszufüllen. In einigen Ausführungsformen wird der Leiter260 als eine Interconnect-Struktur in der dielektrischen Schicht220 gebildet. In einigen Ausführungsformen wird der Leiter260 durch einen Abscheidungsprozess gebildet, wie zum Beispiel einen CVD-Prozess, einen PVD-Prozess oder einen Aufsputterprozess. In einigen Ausführungsformen enthält der Leiter260 Wolfram (W), Kupfer (Cu) oder Kobalt (Co). - Der Boden der Metallschicht
230 reagiert mit der Epitaxialstruktur210 und wird das Silicid250 . Darum ist die verbleibende Metallschicht230 zwischen der Sperrschicht240 und der Seitenwand der Öffnung222 vorhanden und ist nicht zwischen dem Silicid250 und der Sperrschicht240 vorhanden. Und zwar steht der Boden der Sperrschicht240 in direktem Kontakt mit dem Silicid250 , dergestalt, dass der Kontaktwiderstand der Interconnect-Struktur reduziert wird. - Der Abschnitt des Leiters
260 über der dielektrischen Schicht220 wird entfernt. In einigen Ausführungsformen ist der Abtragsprozess ein chemisch-mechanischer Polier(CMP)-Prozess, der ausgeführt wird, um die überschüssigen Abschnitte des Leiters260 , der Sperrschicht240 und der Metallschicht230 außerhalb der Öffnung222 zu entfernen, wodurch die Oberseite der dielektrischen Schicht220 freigelegt und eine planarisierte Oberfläche erreicht wird. - Die Interconnect-Struktur, die den Leiter
260 und das Silicid250 enthält, wird in der dielektrischen Schicht230 gebildet und ist mit der Epitaxialstruktur210 verbunden. Da die Grenzfläche zwischen dem Silicid250 und der Epitaxialstruktur210 rau und unregelmäßig wird, wird die Kontaktfläche dazwischen entsprechend vergrößert. Darum wird der Widerstand der Interconnect-Struktur aufgrund der vergrößerten Kontaktfläche reduziert. - Wir wenden uns
3 zu, die eine lokale Querschnittsansicht der Interconnect-Struktur einiger anderer Ausführungsformen der Offenbarung ist. Auf der Rippe120 können sich mehrere der epitaxialen Strukturen210 befinden. Wie in2A bis2F besprochen, werden ein physischer Abtragsprozess und ein chemischer Prozess ausgeführt, um die Oberfläche der epitaxialen Strukturen210 zu texturieren und die Oxidschicht auf dem frei gelegten Abschnitt der epitaxialen Strukturen210 zu entfernen. Die verbundenen epitaxialen Strukturen210 bilden eine bergkuppenartige Oberseite, und die Tiefendifferenz der Oberseite der epitaxialen Strukturen210 ist größer als die der einzelnen Epitaxialstruktur210 . Zum Beispiel liegt die Tiefendifferenz der Oberseite der epitaxialen Strukturen210 in einem Bereich von etwa 3 nm bis etwa 25 nm, was der HF-Leistung beim Erzeugen der energetischen Ionen214 entspricht. In einigen Ausführungsformen ist die HF-Leistung des Sputterprozesses höher als 500 W, und die Tiefendifferenz der Oberfläche der epitaxialen Strukturen210 liegt in einem Bereich von etwa 15 nm bis etwa 25 nm. In einigen Ausführungsformen liegt die HF-Leistung des Sputterprozesses unter 400 W, und die Tiefendifferenz der Oberfläche der epitaxialen Strukturen210 liegt in einem Bereich von etwa 3 nm bis etwa 15 nm. - Dementsprechend ist die Dicke des Silicids
250 zwischen der Sperrschicht240 und den epitaxialen Strukturen210 nicht gleichmäßig, und die Breite der einzelnen epitaxialen Strukturen210 ist nicht die gleich. Der Unterschied zwischen den benachbarten epitaxialen Strukturen210 beträgt etwa 3 nm bis etwa 20 nm. Der Unterschied der Dicke des Silicids250 liegt in einem Bereich von etwa 3 nm bis etwa 25 nm. - Die oben beschriebene Interconnect-Struktur ist nicht auf eine Verwendung in einer FinFET-Vorrichtung mit Epitaxialstruktur beschränkt, sondern kann in jeder geeigneten Halbleitervorrichtung mit Silicid-Kontakt verwendet werden. Zum Beispiel kann die oben beschriebene Interconnect-Struktur in einer Nanodrahtkomponente verwendet werden, wie in
4A bis4F besprochen. - Wir wenden uns
4A bis4F zu.4A bis4F sind schematische schräge Ansichten eines Verfahrens zur Herstellung einer Halbleitervorrichtung auf verschiedenen Stufen gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Wie in4A zu sehen, beginnt das Verfahren mit einer Halbleiter-auf-Isolator(SOI)-Struktur310 . Die SOI-Struktur310 enthält ein Halbleitersubstrat312 , eine vergrabene Oxid(BOX)-Schicht314 und eine SOI-Schicht316 . In einigen Ausführungsformen besteht die SOI-Schicht316 aus einem Halbleitermaterial, wie zum Beispiel Silizium. Die BOX-Schicht314 kann Siliziumoxid, Siliziumnitrid oder Siliziumoxynitrid enthalten. Die BOX-Schicht314 ist zwischen dem Halbleitersubstrat312 und der SOI-Schicht316 vorhanden. Genauer gesagt, kann die BOX-Schicht314 unter der SOI-Schicht316 und über dem Halbleitersubstrat312 vorliegen, und die BOX-Schicht314 kann durch Implantieren eines energiereichen Dotanden in die SOI-Struktur310 und anschließendes Ausheilen der Struktur, um eine vergrabene Oxidschicht zu bilden, ausgebildet werden. In einigen anderen Ausführungsformen kann die BOX-Schicht314 vor der Herstellung der SOI-Schicht316 abgeschieden oder gezüchtet werden. In einigen anderen Ausführungsformen kann die SOI-Struktur310 unter Verwendung von Waferbondungstechniken ausgebildet werden, wobei ein verbondetes Waferpaar unter Verwendung von Leim, Klebstoffpolymer oder Direktbondung gebildet wird. - Wir wenden uns
4B zu. Die SOI-Schicht316 wird strukturiert, um Kontaktinseln322 ,324 ,326 und328 und Verbindungsstrukturen332 und334 zu bilden. Zum Beispiel können die Kontaktinseln322 ,324 ,326 und328 und die Verbindungsstrukturen332 und334 unter Verwendung geeigneter Prozesses, wie zum Beispiel Fotolithografie und Ätzen, hergestellt werden. Die Verbindungsstrukturen332 verbinden die Kontaktinseln322 und324 . Die Verbindungsstrukturen334 verbinden die Kontaktinseln326 und328 . Oder anders ausgedrückt: Mindestens eine der Verbindungsstrukturen332 kann getrennte Kontaktinseln322 und324 auf ihren gegenüberliegenden Seiten haben, und mindestens eine der Verbindungsstrukturen334 kann getrennte Kontaktinseln326 und328 auf ihren gegenüberliegenden Seiten haben. - Wir wenden uns
4C zu. Die Verbindungsstrukturen332 und334 wurden teilweise entfernt, um erste Nanodrähte342 und zweite Nanodrähte344 zu bilden. In einigen Ausführungsformen werden untere Abschnitte der Verbindungsstrukturen332 und334 und darunterliegende Abschnitte der BOX-Schicht314 durch einen isotropen Ätzprozess entfernt, so dass die ersten Nanodrähte342 als zwischen den Kontaktinseln322 und324 aufgehängt gebildet werden und die zweiten Nanodrähte344 als zwischen den Kontaktinseln326 und328 aufgehängt gebildet werden. Das isotrope Ätzen ist eine Form des Ätzens, das keine bevorzugte Richtung enthält. Ein Beispiel eines isotropen Ätzens ist Nassätzen. Der isotrope Ätzprozess bildet Unterschneidungsregionen, über denen die ersten und zweiten Nanodrähte342 und344 aufgehängt sind. In einigen Ausführungsformen kann das isotrope Ätzen unter Verwendung einer verdünnten Fluorwasserstoffsäure (DHF) ausgeführt werden. Nach dem isotropen Ätzprozess können die ersten und zweiten Nanodrähte342 und344 geglättet werden, um elliptische (und in einigen Fällen zylindrische) Strukturen zu bilden. In einigen Ausführungsformen kann der Glättungsprozess durch einen Ausheilungsprozess ausgeführt werden. Eine beispielhafte Ausheilungstemperatur kann in einem Bereich von etwa 600°C bis etwa 1000°C liegen, und der Wasserstoffdruck in dem Ausheilungsprozess kann in einem Bereich von etwa 7 Torr bis etwa 600 Torr liegen. - Wir wenden uns
4D zu. Abstandshalter352 werden auf gegenüberliegenden Seitenwänden der Dummy-Gate-Materialschicht362 gebildet, und Abstandshalter354 werden auf gegenüberliegenden Seitenwänden der Dummy-Gate-Materialschicht364 gebildet. Das Verfahren zum Ausbilden der Abstandshalter352 und354 enthält das Ausbilden einer dielektrischen Schicht und das anschließende Ausführen eines Ätzprozesses zum Entfernen eines Abschnitts der dielektrischen Schicht. - Nach der Herstellung der Abstandshalter
352 und354 kann ein Dotand vom n-Typ in die frei gelegten Abschnitte der ersten Nanodrähte342 eingearbeitet werden, die neben den Abstandshaltern352 liegen, um Source/Drain-Verlängerungsregionen vom n-Typ zu bilden. Gleichermaßen kann ein Dotand vom p-Typ in die frei gelegten Abschnitte der zweiten Nanodrähte344 eingearbeitet werden, die neben den Abstandshalters354 liegen, um Source/Drain-Verlängerungsregionen vom p-Typ zu bilden. Beispiele eines Dotanden vom p-Typ sind Bor, Aluminium, Gallium und Indium. Beispiele eines Dotanden vom n-Typ sind Antimon, Arsen und Phosphor. - In einigen Ausführungsformen werden Source/Drain-Verlängerungsregionen in den ersten Nanodrähten
342 und den zweiten Nanodrähten344 unter Verwendung eines in-situ dotierten epitaxialen Wachstumsprozesses gebildet, gefolgt von einem Ausheilungsprozess, um den Dotanden von dem in-situ dotierten epitaxialen Halbleitermaterial in die ersten Nanodrähte342 und die zweiten Nanodrähte344 zu treiben, um die Verlängerungsregionen bereitzustellen. In einigen Ausführungsformen wird das in-situ dotierte Halbleitermaterial unter Verwendung eines epitaxialen Wachstumsprozesses gebildet. „In-situ dotiert” meint, dass der Dotand während des epitaxialen Wachstumsprozesses, der das halbleiterhaltige Material des in-situ dotierten Halbleitermaterials abscheidet, in das in-situ dotierte Halbleitermaterial eingearbeitet wird. Wenn die chemischen Reaktionsteilnehmer gesteuert werden, so kommen die abscheidenden Atome an der Oberfläche der ersten und zweiten Nanodrähte342 und344 und der Kontaktinseln322 ,324 ,326 und328 mit ausreichender Energie an, um sich auf der Oberfläche zu bewegen und sich auf die Kristallanordnung der Atome der Abscheidungsoberfläche auszurichten. Durch das epitaxiale Wachstum werden die Kontaktinseln322 ,324 ,326 und328 und die Abschnitte der ersten Nanodrähte342 und zweiten Nanodrähte344 , die nicht von den Dummy-Gate-Materialschichten362 und364 und den Abstandshaltern352 und354 bedeckt sind, dicker. - Danach kann eine Ionenimplantierung an den Kontaktinseln
322 ,324 ,326 und328 ausgeführt werden, um tiefe Source/Drain-Regionen zu bilden. Die tiefen Source/Drain-Regionen können unter Verwendung einer Ionenimplantierung ausgebildet werden. Während der Ionenimplantierung, bei der die tiefen Source/Drain-Regionen entstehen, können die Abschnitte der Vorrichtung, in denen die Implantierung nicht wünschenswert ist, durch eine Maske, wie zum Beispiel eine Photoresistmaske, geschützt werden. Die tiefen Source/Drain-Regionen in den Kontaktinseln322 und324 haben einen Dotanden von gleicher Leitfähigkeit wie die Source/Drain-Verlängerungsregionen in den ersten Nanodrähten342 , wie zum Beispiel einen Dotanden vom n-Typ, aber die tiefen Source/Drain-Regionen in den Kontaktinseln322 und324 haben eine höhere Dotandenkonzentration als die Source/Drain-Verlängerungsregionen in den ersten Nanodrähten342 . Gleichermaßen haben die tiefen Source/Drain-Regionen in den Kontaktinseln326 und328 einen Dotanden von gleicher Leitfähigkeit wie die Source/Drain-Verlängerungsregionen in den zweiten Nanodrähten344 , wie zum Beispiel einen Dotanden vom p-Typ, aber die tiefen Source/Drain-Regionen in den Kontaktinseln326 und328 haben eine höhere Dotandenkonzentration als die Source/Drain-Verlängerungsregionen in den zweiten Nanodrähten344 . - Wir wenden uns
4E zu. Eine Zwischenschichtdielektrikum(ILD)-Schicht370 wird gebildet, um die Dummy-Gate-Materialschichten362 und364 , die ersten Nanodrähte342 und die zweiten Nanodrähte344 zu bedecken. Die ILD-Schicht370 kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid, ein dielektrisches Material mit niedriger Dielektrizitätskonstante oder Kombinationen davon enthalten. Die ILD-Schicht370 kann durch einen Abscheidungsprozess, wie zum Beispiel einen CVD-Prozess, gebildet werden. Anschließend wird ein Abschnitt der ILD-Schicht370 entfernt, um Oberseiten der Dummy-Gate-Materialschichten freizulegen. Der Abtragsschritt kann das Ausführen eines chemisch-mechanischen Polier(CMP)-Prozesses enthalten. Die Dummy-Gate-Materialschichten werden unter Verwendung geeigneter Prozesse, wie zum Beispiel Nassätzen, weiter entfernt. Nach dem Abtragen der Dummy-Gate-Materialschichten wird ein erster Graben382 zwischen den Abstandshaltern352 gebildet, und ein zweiter Graben384 wird zwischen den Abstandshaltern354 gebildet, und die ersten und zweiten Gräben382 und384 sind räumlich durch die Abstandshalter352 ,354 und die ILD-Schicht370 voneinander isoliert. - Wir wenden uns
4F zu. Gate-Stapel390 und392 werden gebildet und füllen die Gräben382 und384 . Die Gate-Stapel390 und392 enthalten jeweils ein Gate-Dielektrikum, das die Nanodrähte umhüllt, eine Gate-Elektrode, die das Gate-Dielektrikum umhüllt, und eine Deckschicht, die die Gate-Elektrode umhüllt. - Nach die Halbleitervorrichtung
300 gebildet wurde, werden mehrere Interconnect-Strukturen in der ILD-Schicht370 gebildet, die mit den Kontaktinseln322 ,324 ,326 und328 verbunden werden. Eine Querschnittsansicht der Interconnect-Struktur und der Kontaktinseln ist in4 veranschaulicht. - Wie in
5 gezeigt, wird eine Interconnect-Struktur400 in der ILD-Schicht370 gebildet und steht mit der Kontaktinsel320 in Kontakt. Die Kontaktinsel320 kann eine beliebige der Kontaktinseln322 ,324 ,326 und328 sein. Die Interconnect-Struktur400 enthält eine Metallschicht410 , eine Silicid420 , eine Sperrschicht430 und einen Leiter440 . Die Details des Herstellens der Interconnect-Struktur400 sind im Wesentlichen die gleichen wie bei dem in2A bis2G beschriebenen Verfahren. Nachdem die Öffnung in der ILD-Schicht370 gebildet wurde, wird der frei liegende Abschnitt der Kontaktinsel320 durch Ausführen eines physischen Abtragsprozesses, wie zum Beispiel eines Sputterprozesses, texturiert. Einige der reaktiven Ionen412 für den Sputterprozess, wie zum Beispiel Ne, Ar, Kr, Xe, bleiben in der Oberfläche der Kontaktinsel320 . Die Metallschicht410 wird in der Öffnung der ILD-Schicht370 abgeschieden, und der Boden der Metallschicht reagiert mit der Kontaktinsel320 und wird Silicid420 . Die Ionen412 bleiben im Silicid420 . Die Metallschicht410 ist zwischen der Sperrschicht430 und der Seitenwand der ILD-Schicht370 vorhanden und ist nicht zwischen der Sperrschicht430 und dem Silicid420 vorhanden. Die Sperrschicht430 steht in direktem Kontakt mit dem Silicid420 . Der Leiter440 wird so ausgebildet, dass er die Öffnung ausfüllt. - Die Oberfläche der Kontaktregion, wie zum Beispiel der Epitaxialstruktur und der Halbleiterkontaktinsel, wird durch Ausführen eines physischen Abtragsprozesses texturiert. Einige der Ionen, die in dem physischen Abtragsprozess verwendet werden, bleiben in der Kontaktregion. Die Kontaktregion reagiert mit der darauf abgeschiedenen Metallschicht, wodurch ein Silicid dazwischen entsteht. Da die Oberfläche der Kontaktregion texturiert wird, wird die durch das Silicid bereitgestellte Kontaktfläche entsprechend vergrößert, wodurch der Widerstand der Interconnect-Struktur reduziert wird.
- Gemäß einigen Ausführungsformen der Offenbarung enthält eine Halbleitervorrichtung ein Halbleitersubstrat, eine Kontaktregion, die in dem Halbleitersubstrat vorhanden ist, und ein Silicid, das auf der Kontaktregion vorhanden ist. Die Kontaktregion enthält eine texturierte Oberfläche, und mehrere Sputterrückstände sind zwischen dem Silicid und der Kontaktregion vorhanden.
- Gemäß einigen anderen Ausführungsformen der Offenbarung enthält eine Interconnect-Struktur ein Silicid, das auf einer Kontaktregion vorhanden ist, einen Leiter, der auf dem Silicid vorhanden ist, und eine Sperrschicht, die zwischen dem Leiter und dem Silicid vorhanden ist. Eine Grenzfläche zwischen der Kontaktregion und dem Silicid wird texturiert, und mehrere Sputterrückstände sind in dem Silicid vorhanden.
- Gemäß einigen anderen Ausführungsformen der Offenbarung enthält ein Verfahren zum Herstellen einer Interconnect-Struktur Folgendes: Ausbilden einer Öffnung in einer dielektrischen Schicht zum Freilegen eines Abschnitts einer Kontaktregion; Ausführen eines physischen Abtragsprozesses zum Texturieren einer Oberfläche der Kontaktregion; Ausbilden einer Metallschicht auf der texturierten Oberfläche der Kontaktregion; Ausbilden einer Sperrschicht auf der Metallschicht; und Ausführen eines Ausheilungsprozesses, wobei die Metallschicht mit der Kontaktregion reagiert, wodurch ein Silicid zwischen der Kontaktregion und der Sperrschicht entsteht.
- Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.
Claims (20)
- Halbleitervorrichtung, die Folgendes umfasst: ein Halbleitersubstrat; eine Kontaktregion, die in dem Halbleitersubstrat vorhanden ist, wobei die Kontaktregion eine texturierte Oberfläche umfasst; ein Silicid, das auf der Kontaktregion vorhanden ist; und mehrere Sputterrückstände, die zwischen dem Silicid und der Kontaktregion vorhanden sind.
- Halbleitervorrichtung nach Anspruch 1, wobei die Sputterrückstände Argon(Ar)-Ionen, Neon(Ne)-Ionen, Krypton(Kr)- oder Xenon(Xe)-Ionen sind.
- Halbleitervorrichtung nach Anspruch 1 oder 2, wobei die Kontaktregion eine Epitaxialstruktur ist.
- Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei die Kontaktregion eine Halbleiter-Kontaktinsel ist.
- Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei eine Grenzfläche zwischen dem Silicid und der Kontaktregion unregelmäßig ist.
- Halbleitervorrichtung nach einem der vorangehenden Ansprüche, die des Weiteren eine dielektrische Schicht umfasst, die auf dem Halbleitersubstrat vorhanden ist, wobei die dielektrische Schicht eine Öffnung umfasst, um einen Abschnitt des Silicids zu freizulegen.
- Halbleitervorrichtung nach Anspruch 6, die des Weiteren Folgendes umfasst: einen Leiter, der die Öffnung ausfüllt; und eine Sperrschicht, die auf einer Seitenwand der Öffnung und auf dem Silicid vorhanden ist.
- Halbleitervorrichtung nach Anspruch 7, die des Weiteren Folgendes umfasst: eine Metallschicht, die zwischen der Seitenwand der Öffnung und der Sperrschicht vorhanden ist, wobei die Metallschicht nicht zwischen dem Silicid und der Sperrschicht vorhanden ist.
- Interconnect-Struktur, die Folgendes umfasst: ein Silicid, das auf einer Kontaktregion vorhanden ist, wobei eine Grenzfläche zwischen der Kontaktregion und dem Silicid texturiert wird, und mehrere Sputterrückstände in dem Silicid vorhanden sind; einen Leiter, der auf dem Silicid vorhanden ist; und eine Sperrschicht, die zwischen dem Leiter und dem Silicid vorhanden ist.
- Interconnect-Struktur nach Anspruch 9, wobei die Sputterrückstände Argon(Ar)-Ionen, Neon(Ne)-Ionen, Krypton(Kr)- oder Xenon(Xe)-Ionen umfassen.
- Interconnect-Struktur nach Anspruch 9 oder 10, die des Weiteren eine Metallschicht umfasst, wobei die Metallschicht an einer Seitenwand der Sperrschicht vorhanden ist und nicht zwischen dem Silicid und der Sperrschicht vorhanden ist.
- Interconnect-Struktur nach Anspruch 11, wobei die Metallschicht aus Titan (Ti), Kobalt (Co), Nickel (Ni), Platin (Pt) oder Wolfram (W) besteht.
- Interconnect-Struktur nach einem der Ansprüche 9 bis 12, wobei die Sperrschicht aus Tantal (Ta) oder Titan (Ti) besteht.
- Interconnect-Struktur nach einem der Ansprüche 9 bis 13, wobei der Leiter aus Wolfram (W), Kupfer (Cu) oder Kobalt (Co) besteht.
- Verfahren zum Herstellen einer Interconnect-Struktur, wobei das Verfahren Folgendes umfasst: Ausbilden einer Öffnung in einer dielektrischen Schicht zum Freilegen eines Abschnitts einer Kontaktregion; Ausführen eines physischen Abtragsprozesses zum Texturieren einer Oberfläche der Kontaktregion; Ausbilden einer Metallschicht auf der texturierten Oberfläche der Kontaktregion; Ausbilden einer Sperrschicht auf der Metallschicht; und Ausführen eines Ausheilungsprozesses, wobei die Metallschicht mit der Kontaktregion reagiert, wodurch ein Silicid zwischen der Kontaktregion und der Sperrschicht entsteht.
- Verfahren nach Anspruch 15, wobei der physische Abtragsprozess einen Abschnitt der Oxidschicht auf der Oberfläche der Kontaktregion entfernt, und das Verfahren des Weiteren das Ausführen eines chemischen Abtragsprozesses nach dem physischen Abtragsprozess umfasst, um einen verbleibenden Abschnitt der Oxidschicht zu entfernen.
- Verfahren nach Anspruch 15 oder 16, wobei der physische Abtragsprozess mehrere Aussparungen auf der Oberfläche der Kontaktregion bildet.
- Verfahren nach einem der Ansprüche 15 bis 17, wobei der physische Abtragsprozess einen Sputterprozess umfasst.
- Verfahren nach einem der Ansprüche 15 bis 18, wobei der Ausheilungsprozess ausgeführt wird, nachdem die Sperrschicht auf der Metallschicht gebildet wurde.
- Verfahren nach einem der Ansprüche 15 bis 19, das des Weiteren Folgendes umfasst: Ausbilden eines Leiters auf der Sperrschicht, und Ausfüllen der Öffnung.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562216902P | 2015-09-10 | 2015-09-10 | |
US62/216,902 | 2015-09-10 | ||
US14/993,024 US9576908B1 (en) | 2015-09-10 | 2016-01-11 | Interconnection structure, fabricating method thereof, and semiconductor device using the same |
US14/993,024 | 2016-01-11 |
Publications (2)
Publication Number | Publication Date |
---|---|
DE102016101844A1 true DE102016101844A1 (de) | 2017-03-16 |
DE102016101844B4 DE102016101844B4 (de) | 2021-04-29 |
Family
ID=58017792
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE102016101844.9A Active DE102016101844B4 (de) | 2015-09-10 | 2016-02-03 | Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur |
Country Status (5)
Country | Link |
---|---|
US (5) | US9576908B1 (de) |
KR (1) | KR101820233B1 (de) |
CN (1) | CN106531805B (de) |
DE (1) | DE102016101844B4 (de) |
TW (1) | TWI588964B (de) |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9576908B1 (en) * | 2015-09-10 | 2017-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure, fabricating method thereof, and semiconductor device using the same |
US9893189B2 (en) | 2016-07-13 | 2018-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for reducing contact resistance in semiconductor structures |
US10541172B2 (en) * | 2016-08-24 | 2020-01-21 | International Business Machines Corporation | Semiconductor device with reduced contact resistance |
KR102276650B1 (ko) * | 2017-04-03 | 2021-07-15 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10297602B2 (en) | 2017-05-18 | 2019-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Implantations for forming source/drain regions of different transistors |
US10304735B2 (en) * | 2017-06-22 | 2019-05-28 | Globalfoundries Inc. | Mechanically stable cobalt contacts |
FR3098019B1 (fr) * | 2019-06-25 | 2022-05-20 | Aledia | Dispositif optoélectronique comprenant des éléments semi-conducteurs tridimensionnels et procédé pour sa fabrication |
US11271077B2 (en) * | 2020-03-03 | 2022-03-08 | Globalfoundries U.S. Inc. | Trap-rich layer in a high-resistivity semiconductor layer |
CN113130636B (zh) * | 2021-04-15 | 2022-06-17 | 长鑫存储技术有限公司 | 半导体器件的制造方法及其半导体器件 |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06188385A (ja) | 1992-10-22 | 1994-07-08 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US5344793A (en) * | 1993-03-05 | 1994-09-06 | Siemens Aktiengesellschaft | Formation of silicided junctions in deep sub-micron MOSFETs by defect enhanced CoSi2 formation |
JPH09213801A (ja) * | 1996-01-29 | 1997-08-15 | Sony Corp | 接続孔の形成工程を有する半導体装置の製造方法 |
US5824586A (en) | 1996-10-23 | 1998-10-20 | Advanced Micro Devices, Inc. | Method of manufacturing a raised source/drain MOSFET |
US6558739B1 (en) * | 1997-05-30 | 2003-05-06 | Chartered Semiconductor Manufacturing Ltd. | Titanium nitride/titanium tungsten alloy composite barrier layer for integrated circuits |
US6156630A (en) | 1997-08-22 | 2000-12-05 | Micron Technology, Inc. | Titanium boride gate electrode and interconnect and methods regarding same |
US5847463A (en) | 1997-08-22 | 1998-12-08 | Micron Technology, Inc. | Local interconnect comprising titanium nitride barrier layer |
US6124212A (en) * | 1997-10-08 | 2000-09-26 | Taiwan Semiconductor Manufacturing Co. | High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers |
US6245672B1 (en) * | 1998-10-23 | 2001-06-12 | Texas Instruments Incorporated | Method of forming diffusion barriers for copper metallization in integrated cirucits |
US6096629A (en) * | 1998-11-05 | 2000-08-01 | Taiwan Semiconductor Manufacturing Company | Uniform sidewall profile etch method for forming low contact leakage schottky diode contact |
US6251777B1 (en) * | 1999-03-05 | 2001-06-26 | Taiwan Semiconductor Manufacturing Company | Thermal annealing method for forming metal silicide layer |
JP3297415B2 (ja) * | 2000-02-09 | 2002-07-02 | 株式会社半導体先端テクノロジーズ | 半導体装置の製造方法 |
KR100703984B1 (ko) * | 2006-03-22 | 2007-04-09 | 삼성전자주식회사 | 반도체 집적 회로 장치의 제조 방법 및 그 구조 |
WO2008084867A1 (ja) * | 2007-01-10 | 2008-07-17 | Nec Corporation | 半導体装置及びその製造方法 |
JP4664950B2 (ja) * | 2007-08-20 | 2011-04-06 | 株式会社東芝 | 半導体装置 |
FR2979749B1 (fr) * | 2011-09-07 | 2014-03-28 | St Microelectronics Crolles 2 | Procede de realisation d'une couche de siliciure dans le fond d'une tranchee, et dispositif pour la mise en oeuvre dudit procede |
US8742457B2 (en) * | 2011-12-16 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Anti-fuses on semiconductor fins |
US9412842B2 (en) * | 2013-07-03 | 2016-08-09 | Samsung Electronics Co., Ltd. | Method for fabricating semiconductor device |
US9209132B2 (en) | 2013-07-26 | 2015-12-08 | Semiconductor Components Industries, Llc | Semiconductor component and method of manufacture |
US9076823B2 (en) * | 2013-09-11 | 2015-07-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bi-layer metal deposition in silicide formation |
US20150118833A1 (en) | 2013-10-24 | 2015-04-30 | Applied Materials, Inc. | Method of making source/drain contacts by sputtering a doped target |
US9595524B2 (en) * | 2014-07-15 | 2017-03-14 | Globalfoundries Inc. | FinFET source-drain merged by silicide-based material |
KR102171023B1 (ko) * | 2014-07-21 | 2020-10-29 | 삼성전자주식회사 | 반도체 소자 제조방법 |
US9530661B2 (en) * | 2014-08-06 | 2016-12-27 | Applied Materials, Inc. | Method of modifying epitaxial growth shape on source drain area of transistor |
KR102245130B1 (ko) * | 2014-10-29 | 2021-04-29 | 삼성전자 주식회사 | 라이징 부 및 리세스 부를 갖는 컨택 패턴을 포함하는 반도체 소자 |
US9230795B1 (en) * | 2014-10-29 | 2016-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Directional pre-clean in silicide and contact formation |
US9391201B2 (en) * | 2014-11-25 | 2016-07-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain structure and manufacturing the same |
US9437496B1 (en) * | 2015-06-01 | 2016-09-06 | Globalfoundries Inc. | Merged source drain epitaxy |
US9576908B1 (en) * | 2015-09-10 | 2017-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure, fabricating method thereof, and semiconductor device using the same |
-
2016
- 2016-01-11 US US14/993,024 patent/US9576908B1/en active Active
- 2016-02-03 DE DE102016101844.9A patent/DE102016101844B4/de active Active
- 2016-03-25 KR KR1020160035819A patent/KR101820233B1/ko active IP Right Grant
- 2016-06-29 TW TW105120581A patent/TWI588964B/zh active
- 2016-08-18 CN CN201610683475.4A patent/CN106531805B/zh active Active
- 2016-12-16 US US15/382,492 patent/US10297548B2/en active Active
-
2019
- 2019-05-20 US US16/416,454 patent/US10861791B2/en active Active
-
2020
- 2020-12-07 US US17/113,318 patent/US11404376B2/en active Active
-
2022
- 2022-07-11 US US17/811,649 patent/US11749603B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US11404376B2 (en) | 2022-08-02 |
US20220344274A1 (en) | 2022-10-27 |
US20170098613A1 (en) | 2017-04-06 |
US10861791B2 (en) | 2020-12-08 |
KR20170031009A (ko) | 2017-03-20 |
DE102016101844B4 (de) | 2021-04-29 |
CN106531805B (zh) | 2020-04-10 |
US20190279939A1 (en) | 2019-09-12 |
TW201711158A (zh) | 2017-03-16 |
CN106531805A (zh) | 2017-03-22 |
US10297548B2 (en) | 2019-05-21 |
US20170077033A1 (en) | 2017-03-16 |
TWI588964B (zh) | 2017-06-21 |
US9576908B1 (en) | 2017-02-21 |
US20210118807A1 (en) | 2021-04-22 |
KR101820233B1 (ko) | 2018-01-18 |
US11749603B2 (en) | 2023-09-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE102016101844B4 (de) | Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur | |
DE102018124749B4 (de) | Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen | |
DE102019116036B4 (de) | Halbleitervorrichtung und verfahren | |
DE102020110754B4 (de) | Verfahren zur herstellung einer halbleitervorrichtung | |
DE102017120571B4 (de) | Verfahren zur halbleiterherstellung | |
DE102020114875B4 (de) | Finfet-vorrichtung und verfahren | |
DE102020119976B4 (de) | Verfahren zum herstellen einer halbleitervorrichtung | |
DE102020113628A1 (de) | Halbleitervorrichtung und verfahren | |
DE102020122151A1 (de) | Halbleitervorrichtung und verfahren | |
DE102020120658A1 (de) | Transistorgates und Verfahren zu deren Herstellung | |
DE102021109560A1 (de) | Transistor-gate-strukturen und verfahren zu deren bildung | |
DE102020114314A1 (de) | Halbleitervorrichtung und verfahren | |
DE102020114996A1 (de) | Halbleitervorrichtung und verfahren zum ausbilden von dieser | |
DE102021113549B3 (de) | Halbleitervorrichtung und verfahren | |
DE102021104817B4 (de) | Halbleitervorrichtung und verfahren | |
DE102020110678B4 (de) | Halbleitervorrichtung und -verfahren | |
DE102020101405B4 (de) | Verfahren zur herstellung einer halbleitervorrichtung | |
DE102020115408A1 (de) | Halbleitervorrichtung und verfahren | |
DE102020114655A1 (de) | Finnen-feldeffekttransistorvorrichtung mit kontaktstopen mit einspringendem profil | |
DE102021116786B4 (de) | Verfahren zur herstellung einer halbleitervorrichtung | |
DE102017127228B3 (de) | Halbleitervorrichtung mit einem Kontaktstopfen und Verfahren zur Herstellung | |
DE102017127658B4 (de) | Halbleitervorrichtung und verfahren | |
DE102023101119A1 (de) | Transistor-source/drain-bereiche und verfahren zu deren erzeugung | |
DE102022104650A1 (de) | Herstellen von nähten mit erwünschten abmessungen in isolationsbereichen | |
DE102017127205A1 (de) | Halbleiter-bauelement und verfahren |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R079 | Amendment of ipc main class |
Free format text: PREVIOUS MAIN CLASS: H01L0023500000 Ipc: H01L0029400000 |
|
R079 | Amendment of ipc main class |
Free format text: PREVIOUS MAIN CLASS: H01L0029400000 Ipc: H01L0023522000 |
|
R016 | Response to examination communication | ||
R018 | Grant decision by examination section/examining division | ||
R020 | Patent grant now final |