DE102020115255B4 - Verfahren zum Herstellen einer Halbleitervorrichtung - Google Patents

Verfahren zum Herstellen einer Halbleitervorrichtung Download PDF

Info

Publication number
DE102020115255B4
DE102020115255B4 DE102020115255.8A DE102020115255A DE102020115255B4 DE 102020115255 B4 DE102020115255 B4 DE 102020115255B4 DE 102020115255 A DE102020115255 A DE 102020115255A DE 102020115255 B4 DE102020115255 B4 DE 102020115255B4
Authority
DE
Germany
Prior art keywords
mask
source
layer
over
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020115255.8A
Other languages
English (en)
Other versions
DE102020115255A1 (de
Inventor
Chien-yuan Chen
Ruei-Ping Lin
Chen-Ming Lee
Fu-Kai Yang
Mei-Yun Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020115255A1 publication Critical patent/DE102020115255A1/de
Application granted granted Critical
Publication of DE102020115255B4 publication Critical patent/DE102020115255B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Verfahren umfassend:Bilden einer ersten Finne (52), die sich von einem Halbleitersubstrat erstreckt;Züchten einer Source/Drain-Region (70) in der ersten Finne (52);Bilden eines Metallgates (80) über der ersten Finne (52), wobei das Metallgate zwischen der Source/Drain-Region (70) und einer ersten Dummyregion der ersten Finne angeordnet ist;Abscheiden einer Zwischenschichtdielektrikumschicht, ILD-Schicht (74), über der Source/Drain-Region (70) und der ersten Dummyregion;Bilden einer Schnittmaske (92) über der ILD-Schicht (74), wobei die Schnittmaske einen ersten Schnittabschnitt, einen zweiten Schnittabschnitt und einen ersten Zuschnittsabschnitt aufweist, wobei sich der erste Schnittabschnitt und der zweite Schnittabschnitt jeweils entlang einer Längsachse der ersten Finne (52) erstrecken, wobei die erste Finne seitlich zwischen dem ersten Schnittabschnitt und dem zweiten Schnittabschnitt angeordnet ist, der erste Zuschnittsabschnitt den ersten Schnittabschnitt mit dem zweiten Schnittabschnitt verbindet und der erste Zuschnittsabschnitt über der ersten Dummyregion angeordnet ist;Strukturieren einer Kontaktöffnung in der ILD-Schicht (74) unter Verwendung der Schnittmaske (92) als Ätzmaske, wobei Abschnitte der ILD-Schicht unter dem ersten Zuschnittsabschnitt über der ersten Dummyregion nach der Strukturierung zurückbleiben; undBilden eines Source/Drain-Kontakts (100) in der Kontaktöffnung, wobei der Source/Drain-Kontakt mit der Source/Drain-Region (70) gekoppelt ist.

Description

  • HINTERGRUND
  • Halbleitervorrichtungen kommen in einer Vielzahl elektronischer Anwendungen zum Einsatz, wie etwa beispielsweise in persönlichen Computern, Handys, Digitalkameras und anderer elektronischer Ausrüstung. Halbleitervorrichtungen werden üblicherweise durch sequenzielle Abscheidung von Isolier- oder Dielektrikumschichten, leitfähigen Schichten und Halbleiterschichten aus Material über einem Halbleitersubstrat und Strukturierung der verschiedenen Materialschichten unter Verwendung von Lithographie zum Bilden von Schaltungskomponenten und Elementen darauf hergestellt.
  • Die Halbleiterindustrie verbessert fortlaufend die Integrationsdichte verschiedener elektronischer Komponenten (z. B. von Transistoren, Dioden, Widerständen, Kondensatoren usw.) durch ständige Verringerungen der Mindestmerkmalsgröße, sodass mehr Komponenten in einen bestimmten Bereich integriert werden können. Bei sinkenden Mindestmerkmalsgrößen treten jedoch weitere Probleme auf, die behandelt werden sollen.
  • Zum Stand der Technik wird auf die US 2017 / 0 033 101 A1 vewiesen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 illustriert ein Beispiel eines FinFET in einer dreidimensionalen Ansicht nach einigen Ausführungsformen.
    • 2A bis 5B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen Ausführungsformen.
    • 6A bis 10B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen Ausführungsformen.
    • 11A bis 12B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen anderen Ausführungsformen.
    • 13A bis 15B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen anderen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Beispielsweise kann das Bilden eines ersten Merkmals oder eines zweiten Merkmals in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und zweite Merkmal in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Merkmale zwischen dem ersten und zweiten Merkmal gebildet werden können, sodass das erste und zweite Merkmal nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder - buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen erklärten Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Zeichnungen illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Zeichnungen dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • Nach einigen Ausführungsformen werden eine Schnittmaske und eine Leitungsmaske in Kombination verwendet, um Regionen zu definieren, in denen Kontakte in einer Dielektrikumschicht gebildet werden, wie etwa einer Zwischenschichtdielektrikumschicht (ILD-Schicht). Die Leitungsmaske weist geschlitzte Öffnungen auf, die sich in einer ersten Richtung erstrecken, und die Schnittmaske weist dielektrische Leitungen auf, die sich in einer rechtwinkligen zweiten Richtung erstrecken, die die geschlitzten Öffnungen schneidet. Die geschlitzten Öffnungen definieren Regionen, in denen Kontakte gebildet sind, und die dielektrischen Leitungen definieren Regionen, in denen Kontakte nicht gebildet sind. Die Leitungsmaske umfasst ferner Zuschnittsabschnitte, die sich in der ersten Richtung erstrecken, und definieren weitere Bereiche, in denen Kontakte nicht gebildet werden. Speziell unbenutzte Bereiche, die anderweitig Dummykontakte umfassen würden, sind von der Kontaktbildung mit den Zuschnittsabschnitten der Leitungsmaske ausgeschlossen. Durch Verringern der Menge an Dummykontakten kann eine parasitische Kapazität an anderen leitfähigen Merkmalen vermieden werden. Das Verringern der parasitischen Kapazität der FinFETs kann für einige Anwendungen besonders vorteilhaft sein, wie etwa für Ringoszillatoren, bei denen die Leistung um bis zu 1% verbessert werden kann.
  • 1 illustriert ein Beispiel von vereinfachten Fin-Field-Effect-Transistoren (FinFETs) in einer dreidimensionalen Ansicht nach einigen Ausführungsformen. Einige andere Merkmale der FinFETs (nachfolgend besprochen) werden zur Klarheit der Illustration ausgelassen. Die illustrierten FinFETs können elektrisch in einer Weise verbunden oder gekoppelt sein, um beispielsweise als ein Transistor oder mehrere Transistoren, wie etwa zwei Transistoren, zu arbeiten.
  • Die FinFETs umfassen Finnen 52, die sich von einem Substrat 50 erstrecken. Shallow-Trench-Isolation-Regionen (STI-Regionen) 56 sind über dem Substrat 50 angeordnet und die Finnen 52 springen über und zwischen benachbarten STI-Regionen 56 vor. Auch wenn die STI-Regionen 56 als von dem Substrat 50 getrennt beschrieben/illustriert sind, kann der Begriff „Substrat“, wie hierin verwendet, verwendet werden, um nur das Halbleitersubstrat oder ein Halbleitersubstrat mit Isolierregionen zu bezeichnen. Weiterhin sind zwar die Finnen 52 als ein einziges, fortlaufendes Material als Substrat 50 illustriert, die Finnen 52 und/oder das Substrat 50 können jedoch ein einzelnes Material oder mehrere Materialien umfassen. In diesem Zusammenhang beziehen sich die Finnen 52 auf die Abschnitte, die sich zwischen den benachbarten STI-Regionen 56 erstrecken.
  • Gatedielektrika 82 befinden sich entlang von Seitenwänden und über oberen Flächen der Finnen 52, und Gateelektroden 84 befinden sich über den Gatedielektrika 82. Source/Drain-Regionen 70 befinden sich an gegenüberliegenden Seiten der Finne 52 bezüglich der Gatedielektrika 82 und Gateelektroden 84. Gateabstandhalter 66 trennen die Source/Drain-Regionen 70 von den Gatedielektrika 82 und Gateelektroden 84. In Ausführungsformen, in denen mehrere Transistoren gebildet werden, können die Source/Drain-Regionen 70 zwischen verschiedenen Transistoren geteilt werden. In Ausführungsformen, in denen ein Transistor aus mehreren Finnen 52 gebildet ist, können benachbarte Source/Drain-Regionen 70 durch epitaktisches Wachstum oder durch Koppeln der Source/Drain-Regionen 70 mit einem selben Source/Drain-Kontakt elektrisch verbunden sein, wie etwa durch Koaleszieren der Source/Drain-Regionen 70.
  • 1 illustriert ferner mehrere Referenzquerschnitte. Der Querschnitt A-A verläuft entlang einer Längsachse der Finne 52 und in einer Richtung von, beispielsweise, einem Stromfluss zwischen den Source/Drain-Regionen 70 eines FinFETs. Der Querschnitt B-B ist rechtwinklig zu Querschnitt A-A und verläuft entlang einer Längsachse der Gateelektroden 84. Querschnitt C-C ist rechtwinklig zu Querschnitt A-A und erstreckt sich durch Source/Drain-Regionen 70 des FinFETs. Aufeinander folgende Zeichnungen beziehen sich um der Klarheit Willen auf diese Referenzquerschnitte.
  • Einige hierin besprochene Ausführungsformen werden im Zusammenhang mit FinFETs besprochen, die unter Verwendung eines Gate-zuletzt-Prozesses. In anderen Ausführungsformen kann ein Gate-zuerst-Prozess verwendet werden. Außerdem betrachten einige Ausführungsformen Aspekte, die in planaren Vorrichtungen verwendet werden, wie etwa in planaren FETs.
  • 2A bis 5B sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen Ausführungsformen. Die 2A, 3A, 4A und 5A sind Querschnittsansichten, die entlang des Referenzquerschnitts A-A in 1 illustriert sind, wobei jedoch mehrere Finnen/FinFETs vorliegen. Die 2B, 3B, 4B und 5B sind Querschnittsansichten, die entlang des Referenzquerschnitts B-B in 1 illustriert sind, wobei jedoch mehrere Finnen/FinFETs vorliegen. Die 2C und 2D sind Querschnittsansichten, die entlang des Referenzquerschnitts C-C in 1 illustriert sind, mit Ausnahme mehrerer Finnen/FinFETs.
  • In 2A und 2B ist ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie etwa ein Bulkhalbleiter, ein Isolator-Substrat (SOI-Substrat) oder dergleichen, das dotiert (z. B. mit einem p- oder n-Dotiermittel) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie etwa ein Siliziumwafer. Allgemein ist ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolierschicht gebildet ist. Die Isolierungsschicht kann beispielsweise eine Buried-Oxid-Schicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird an einem Substrat bereitgestellt, üblicherweise an Silizium oder einem Glassubstrat. Andere Substrate, wie etwa ein mehrlagiges oder Gefällesubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbundhalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen daraus umfassen.
  • Wenn beispielsweise p-Vorrichtungen gebildet werden, kann das Substrat 50 ein gefiltertes Material sein, wie etwa Siliziumgermanium (SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), das eine Germaniumkonzentration im Bereich von ca. 0 % bis ca. 40 % aufweist, sodass FinFETs mit vollständigen gefilterten p-Kanal-Regionen (PFSC-Regionen) gebildet werden.
  • Das Substrat 50 weist eine Region 50N und eine Region 50P auf. Die Region 50N kann dem Bilden von n-Vorrichtungen, wie etwa NMOS-Transistoren dienen, z. B. n-FinFETs. Die Region 50P kann dem Bilden von 50P Vorrichtungen, wie etwa PMOS-Transistoren dienen, z. B. p-FinFETs. Die Region 50N kann physisch von der Region 50P getrennt sein, und jede beliebige Anzahl von Vorrichtungsmerkmalen (z. B. andere aktive Vorrichtungen, dotierte Regionen, Isolierstrukturen usw.) kann zwischen der Region 50N und der Region 50P angeordnet sein.
  • Finnen 52 sind gebildet, die sich von dem Substrat 50 aus erstrecken. Die Finnen 52 sind Halbleiterstreifen. In einigen Ausführungsformen können die Finnen 52 in dem Substrat 50 durch Ätzen von Gräben in dem Substrat 50 gebildet werden. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie etwa ein reaktives Ionenätzen (RIE), neutrales Strahlätzen (NBE), dergleichen oder eine Kombination daraus. Das Ätzen kann anisotrop sein. Nach den Bildungen weisen die Finnen 52 eine Breite W1 auf und Finnen 52 in einer selben Region 50N/50P sind in einem Abstand P1 voneinander angeordnet. Die Breite W1 kann im Bereich von ca. 11 nm bis ca. 14 nm liegen und der Abstand P1 kann im Bereich von ca. 55 nm bis ca. 60 nm liegen.
  • Die Finnen können mit jedem geeigneten Verfahren strukturiert werden. Beispielsweise können die Finnen unter Verwendung eines oder mehrerer Photolithographieprozesse strukturiert werden, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausgerichtete Prozesse, was die Erstellung von Strukturen erlaubt, die beispielsweise Abstände aufweisen, die kleiner sind als es sonst unter Verwendung eines einzelnen direkten Photolithographieprozesses möglich wäre. Beispielsweise wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandhalter können dann verwendet werden, um die Finnen zu strukturieren.
  • STI-Regionen 56 sind über dem Substrat 50 und zwischen benachbarten Finnen 52 gebildet. Als ein Beispiel für das Bilden der STI-Regionen 56 ist ein Isoliermaterial über der Zwischenstruktur gebildet. Das Isoliermaterial kann ein Oxid sein, wie etwa Siliziumoxid, ein Nitrid, dergleichen oder eine Kombination daraus, und kann durch chemische Gasphasenabscheidung mit hochdichtem Plasma (HDP-CVD), eine fließfähige chemische Gasphasenabscheidung (FCVD) (z. B. eine Materialabscheidung, die auf einer chemische Gasphasenabscheidung (CVD) in einem externen Plasmasystem basiert und Nachhärten, um die Umwandlung in ein anderes Material, wie etwa ein Oxid, auszulösen), dergleichen oder eine Kombination daraus gebildet werden. Andere Isoliermaterialien, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. In der illustrierten Ausführungsform ist das Isoliermaterial Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Ein Temperprozess kann durchgeführt werden, wenn das Isoliermaterial gebildet ist. In einer Ausführungsform wird das Isoliermaterial so gebildet, dass überschüssiges Isoliermaterial die Finnen 52 abdeckt. Einige Ausführungsformen können mehrere Schichten verwenden. Beispielsweise kann in einigen Ausführungsformen eine Auskleidung (nicht getrennt dargestellt) erst entlang einer Fläche des Substrats 50 und der Finnen 52 gebildet werden. Danach kann ein Füllmaterial, wie etwa das oben besprochene, über der Auskleidung gebildet werden. Ein Entfernungsprozess wird auf das Isoliermaterial angewendet, um überschüssiges Isoliermaterial über den Finnen 52 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Politur (CMP), ein Rückätzprozess, Kombinationen daraus oder dergleichen verwendet werden. Der Planarisierungsprozess legt die Finnen 52 frei, sodass die oberen Flächen der Finnen 52 und das Isolierungsmaterial nach Abschluss des Planarisierungsprozesses vollständig sind. Das Isoliermaterial wird dann ausgeschnitten, wobei verbleibende Abschnitte des Isoliermaterials die STI-Regionen 56 bilden. Das Isoliermaterial wird so ausgeschnitten, dass die oberen Abschnitte der Finnen 52 in der Region 50N und in der Region 50P zwischen benachbarten STI-Regionen 56 vorspringen. Nach dem Ausschneiden erstrecken sich freigelegte Abschnitte der Finnen 52 um eine Höhe H1 über obere Flächen der STI-Regionen 56. Die Höhe H1 kann größer als etwa 40 nm sein, wie etwa im Bereich von etwa 50 nm bis etwa 80 nm. Die freigelegten Abschnitte der Finnen 52 umfassen die künftigen Kanalregionen der entstehenden FinFETs.
  • Ferner können die oberen Flächen der STI-Regionen 56 eine flache Fläche wie illustriert, eine konvexe Fläche, eine konkave Fläche (wie etwa Dishing) oder eine Kombination daraus aufweisen. Die oberen Flächen der STI-Regionen 56 können durch ein geeignetes Ätzen flach, konvex und/oder konkav gebildet sein. Die STI-Regionen 56 können unter Verwendung eines akzeptablen Ätzprozesses ausgeschnitten werden, wie etwa einem, der selektiv für das Material des Isoliermaterials ist (z. B. das Material des Isoliermaterials schneller als das Material der Finnen 52 ätzt). Beispielsweise kann eine chemische Oxidentfernung mit einem geeigneten Ätzprozess, etwa unter Verwendung von verdünnter Flusssäure (dHF) angewendet werden.
  • Der oben beschriebene Prozess ist nur ein Beispiel davon, wie die Finnen 52 gebildet werden können. In einigen Ausführungsformen können die Finnen durch einen epitaktischen Wachstumsprozess gebildet werden. Beispielsweise kann eine Dielektrikumschicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die Dielektrikumschicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Homoepitaktische Strukturen können epitaktisch in den Gräben aufgebaut werden und die Dielektrikumschicht kann so ausgeschnitten werden, dass die homoepitaktischen Strukturen von der Dielektrikumschicht vorspringen, um Finnen zu bilden. Weiterhin können in einigen Ausführungsformen heteroepitaktische Strukturen für die Finnen 52 verwendet werden. Beispielsweise können die Finnen 52 nach dem Planarisieren des Isoliermaterials der STI-Regionen 56 mit den Finnen 52 ausgeschnitten sein und ein Material, das sich von den Finnen 52 unterscheidet, kann epitaktisch über den ausgeschnittenen Finnen 52 aufgebaut sein. In solchen Ausführungsformen umfassen die Finnen 52 das ausgeschnittene Material sowie das epitaktisch aufgebaute Material, das über dem ausgeschnittenen Material angeordnet ist. In einer noch weiteren Ausführungsform kann eine Dielektrikumschicht über einer oberen Fläche des Substrats 50 gebildet werden, und Gräben können durch die Dielektrikumschicht geätzt werden. Heteroepitaktische Strukturen können dann unter Verwendung eines Materials, das sich von dem Substrat 50 unterscheidet, epitaktisch in den Gräben aufgebaut werden und die Dielektrikumschicht kann so ausgeschnitten werden, dass die heteroepitaktischen Strukturen von der Dielektrikumschicht vorspringen, um die Finnen 52 zu bilden. In einigen Ausführungsformen, in denen homoepitaktische oder heteroepitaktische Strukturen epitaktisch aufgebaut werden, können die epitaktisch aufgebauten Materialien während des Aufbaus vor Ort dotiert werden, was vorherige und nachfolgende Implantierungen vermeiden kann, auch, wenn Vor-Ort- und Implantierungsdotierung gemeinsam verwendet werden können.
  • Noch weiter kann es von Vorteil sein, ein Material in Region 50N (z. B. einer NMOS-Region) epitaktisch aufzubauen, das sich von dem Material in Region 50P (z. B. einer PMOS-Region) unterscheidet. In verschiedenen Ausführungsformen können obere Abschnitte der Finnen 52 aus Siliziumgermanium (SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), Siliziumkarbid, reinem oder im Wesentlichen reinen Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen gebildet werden. Beispielsweise umfassen die verfügbaren Materialien zum Bilden des III-V-Verbindungshalbleiters InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen, sind aber nicht darauf beschränkt.
  • Ferner können geeignete Wells (nicht dargestellt) in den Finnen 52 und/oder dem Substrat 50 gebildet werden. In einigen Ausführungsformen kann ein P-Well in der Region 50N gebildet sein und ein N-Well kann in der Region 50P gebildet sein. In einigen Ausführungsformen sind ein P-Well oder ein N-Well in der Region 50N und der Region 50P gebildet.
  • In den Ausführungsformen mit verschiedenen Welltypen können die verschiedenen Implantierungsschritte für die Region 50N und die Region 50P unter Verwendung eines Photoresist oder anderer Masken erreicht werden (nicht dargestellt). Beispielsweise kann ein Photoresist über den Finnen 52 und den STI-Regionen 56 in der Region 50N gebildet werden. Der Photoresist wird strukturiert, um die Region 50P des Substrats 50 freizulegen, wie etwa eine PMOS-Region. Der Photoresist kann durch Verwenden einer Spin-On-Technik gebildet werden und kann unter Verwendung akzeptabler Photolithographietechniken gebildet werden. Wenn der Photoresist strukturiert ist, erfolgt eine n-Unreinheitenimplantierung in der Region 50P, und der Photoresist kann als eine Maske dienen, um im Wesentlichen zu verhindern, dass n-Unreinheiten in die Region 50N, wie etwa eine NMOS-Region, implantiert werden. Die n-Unreinheiten können Phosphor, Arsen, Antimon oder dergleichen sein, die in die Region mit einer Konzentration gleich oder weniger als 1018 cm-3, wie etwa zwischen ca. 1017 cm-3 und ca. 1018 cm-3, implantiert werden. Nach der Implantierung wird der Photoresist entfernt, wie etwa durch einen akzeptablen Aschenprozess.
  • Nach der Implantierung der Region 50P wird ein Photoresist über den Finnen 52 und den STI-Regionen 56 in der Region 50P gebildet. Der Photoresist wird strukturiert, um die Region 50N des Substrats 50 freizulegen, wie etwa die NMOS-Region. Der Photoresist kann durch Verwenden einer Spin-On-Technik gebildet werden und kann unter Verwendung akzeptabler Photolithographietechniken gebildet werden. Wenn der Photoresist strukturiert ist, kann eine p-Unreinheitenimplantierung in der Region 50N ausgeführt werden und der Photoresist kann als eine Maske dienen, um im Wesentlichen zu verhindern, dass p-Unreinheiten in die Region 50P, wie etwa der PMOS-Region, implantiert werden. p-Unreinheiten können Bor, BF2, Indium oder dergleichen sein, die in die Region mit einer Konzentration gleich oder weniger als 1018 cm-3, wie etwa zwischen ca. 1017 cm-3 und ca. 1018 cm-3, implantiert werden. Nach der Implantierung kann der Photoresist entfernt werden, wie etwa durch einen akzeptablen Aschenprozess.
  • Nach den Implantierungen der Region 50N und der Region 50P kann ein Tempern ausgeführt werden, um die p- und/oder n-Unreinheiten zu aktivieren, die implantiert wurden. In einigen Ausführungsformen können die aufgebauten Materialien oder epitaktischen Finnen vor Ort beim Wachstum dotiert werden, was die Implantierungsdotierung beseitigen kann, wobei jedoch Vor-Ort- und Implantierungsdotierung gleichzeitig verwendet werden können.
  • Dummygatedielektrika 60 sind über den Finnen 52 gebildet und Dummygates 62 sind über den Dummygatedielektrika 60 gebildet. Die Dummygatedielektrika 60 und Dummygates 62 können kollektiv als „Dummygatestapel“ bezeichnet werden, wobei jeder Dummygatestapel ein Dummygatedielektrikum 60 und ein Dummygate 62 umfasst. Die Dummygatestapel erstrecken sich entlang von Seitenwänden der Finnen 52. Auch wenn nur ein Dummygatestapel illustriert ist, sollte beachtet werden, dass mehrere Dummygatestapel gleichzeitig gebildet werden und an jeder Finne 52 mehrere Dummygatestapel gebildet sein können.
  • Als ein Beispiel des Bildens der Dummygatedielektrika 60 und Dummygates 62 ist eine Dummydielektrikumschicht an den Finnen 52 gebildet. Die Dummydielektrikumschicht kann beispielsweise Siliziumoxid, Siliziumnitrid, eine Kombination daraus oder dergleichen sein und kann nach akzeptablen Techniken abgeschieden oder thermal aufgebaut sein. Eine Dummygateschicht wird über der Dummydielektrikumschicht gebildet und eine Maskenschicht wird über der Dummygateschicht gebildet. Die Dummygateschicht kann über der Dummydielektrikumschicht abgeschieden und dann planarisiert werden, wie etwa durch CMP. Die Maskenschicht kann über der Dummygateschicht abgeschieden werden. Die Dummygateschicht kann aus leitfähigem oder nichtleitfähigem Material gebildet sein, und kann aus einer Gruppe gewählt sein, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Siliziumgermanium (Poly-SiGe), metallische Nitrid, metallische Silizide, metallische Oxide und Metalle umfasst. Die Dummygateschicht kann durch physische Gasphasenabscheidung (PVD), CVD, Sputterabscheidung oder andere Techniken abgeschieden werden, die auf dem Fachgebiet verwendet werden, um leitfähige Materialien abzuscheiden. Die Dummygateschicht kann aus anderen Materialien hergestellt sein, die eine hohe Ätzselektivität von dem Ätzen der Isolierungsregionen aufweisen. Die Maskenschicht kann beispielsweise Siliziumnitrid, Siliziumoxyntirid oder dergleichen umfassen. In diesem Beispiel werden eine einzelne Dummygateschicht und eine einzelne Maskenschicht über der Region 50N und der Region 50P gebildet. Es wird angemerkt, dass die Dummydielektrikumschicht zu rein illustrativen Zwecken als nur die Finnen 52 bedeckend dargestellt wird. In einigen Ausführungsformen kann die Dummydielektrikumschicht so abgeschieden werden, dass die Dummydielektrikumschicht die STI-Regionen 56 abdeckt, die sich zwischen der Dummygateschicht und den STI-Regionen 56 erstrecken. Die Maskenschicht wird dann unter Verwendung akzeptabler Photolithographie- und Ätztechniken strukturiert, um Masken 64 zu bilden. Die Struktur der Masken 64 wird dann mit einer akzeptablen Ätztechnik an die Dummygateschicht übertragen, um Dummygates 62 zu bilden. Die Struktur der Masken 64 wird ferner an die Dummydielektrikumschicht übertragen, um Dummygatedielektrika 60 zu bilden. Die Dummygates 62 decken jeweilige Kanalregionen der Finnen 52 ab. Die Struktur der Masken 64 kann verwendet werden, um jedes der Dummygates 62 physisch von angrenzenden Dummygates zu trennen. Die Dummygates 62 können auch eine Längsrichtung aufweisen, die im Wesentlich rechtwinklig zur Längsrichtung jeweiliger Finnen 52 ist.
  • Gateabstandhalter 66 sind auf freigelegten Flächen der Dummygates 62, der Masken 64 und/oder der Finnen 52 gebildet. Die Gateabstandhalter 66 können durch konforme Abscheidung eines Isoliermaterials und nachfolgendes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial der Gateabstandhalter 66 kann Siliziumnitrid, Siliziumkohlenstoffnitrid, einer Kombination daraus oder dergleichen sein. In einigen Ausführungsformen (nicht dargestellt), sind die Gateabstandhalter 66 aus einem mehrschichtigen Isolierungsmaterial gebildet und umfassen mehrere Schichten. Beispielsweise können die Gateabstandhalter 66 mehrere Schichten Siliziumnitrid umfassen oder eine Schicht Siliziumoxid umfassen, die zwischen zwei Schichten Siliziumnitrid angeordnet ist. Das Ätzen des Gateabstandhalters 66 kann anisotrop sein. Nach dem Ätzen kann der Gateabstandhalter 66 gerade Seitenwände oder gebogene Seitenwände aufweisen.
  • Vor oder nach dem Bilden des Gateabstandhalters 66 können Implantationen für leicht dotierte Source/Drain-Regionen (LDD-Regionen) (nicht ausdrücklich illustriert) werden. In den Ausführungsformen mit verschiedenen Vorrichtungstypen können ähnlich wie die besprochenen Implantationen,, eine Maske, wie etwa ein Photoresist, über der Region 50N gebildet sei, während die Region 50P freigelegt wird, und Unreinheiten eines geeigneten Typs (z. B. p) können in die freigelegten Finnen 52 in der Region 50P implantiert werden. Die Maske kann dann entfernt werden. Nachfolgend kann eine Maske, wie etwa ein Photoresist, über der Region 50P gebildet sei, während die Region 50N freigelegt wird, und Unreinheiten eines geeigneten Typs (z. B. n) können in die freigelegten Finnen 52 in der Region 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Unreinheiten können jede der zuvor besprochenen n-Unreinheiten sein und die p-Unreinheiten können jede der zuvor besprochenen p-Unreinheiten sein. Die leicht dotierten Source/Drain-Regionen können eine Konzentration von Unreinheiten von ca. 1015 cm-3 bis ca. 1016 cm-3 aufweisen. Tempern kann verwendet werden, um die implantierten Unreinheiten zu aktivieren.
  • Epitaktische Source/Drain-Regionen 70 werden in den Finnen 52 gebildet. Die epitaktischen Source/Drain-Regionen 70 werden in den Finnen 52 gebildet, sodass die Dummygates 62 zwischen jeweiligen benachbarten Paaren der epitaktischen Source/Drain-Regionen 70 angeordnet sind. In einigen Ausführungsformen können sich die epitaktischen Source/Drain-Regionen 70 in Abschnitte der Finnen 52 unter den oberen Flächen der STI-Regionen 56 erstrecken. In einigen Ausführungsformen werden die Gateabstandhalter 66 verwendet, um die epitaktischen Source/Drain-Regionen 70 von den Dummygates 62 um einen geeigneten seitlichen Abstand zu trennen, sodass die epitaktischen Source/Drain-Regionen 70 keinen Kurzschluss mit nachträglich gebildeten Gates der entstehenden FinFETs gebildet werden. Die epitaktischen Source/Drain-Regionen 70 können eine Belastung auf die jeweiligen Kanalregionen 58 der Finnen 52 ausüben, wodurch die Leistung verbessert wird.
  • Aufgrund der Epitaxieprozesse, die verwendet werden, die epitaktischen Source/Drain-Regionen 70 zu bilden, weisen obere Flächen der epitaktischen Source/Drain-Regionen 70 Facetten auf, die sich seitlich auswärts über Seitenwände der Finnen 52 hinaus erstrecken. In einigen Ausführungsformen verursachen diese Facetten das Verschmelzen von aneinander angrenzenden Source/Drain-Regionen 70 eines selben FinFET wie durch 2C illustriert. Beispielsweise können verschmolzene epitaktische Source/Drain-Regionen 70 gebildet werden, wenn ein Transistor aus mehreren Finnen gebildet ist, z. B. eine Finnengruppe 52G. In anderen Ausführungsformen bleiben aneinander angrenzende Source/Drain-Regionen 70 getrennt, nachdem der Epitaxieprozess abgeschlossen ist, wie in 2D illustriert. Beispielsweise können nicht verschmolzene epitaktische Source/Drain-Regionen 70 gebildet werden, wenn ein Transistor aus einer einzelnen Finne 52S gebildet ist. In den Ausführungsformen aus 2C und 2D sind Gateabstandhalter 66 so gebildet, dass sie einen Abschnitt der Seitenwände der Finnen 52 bedecken, die sich über die STI-Regionen 56 erstrecken und damit das epitaktische Wachstum blockieren. In einigen anderen Ausführungsformen kann das Abstandhalterätzen, das verwendet wird, den Gateabstandhalter 66 zu bilden, angepasst werden, um das Abstandhaltermaterial zu entfernen, sodass die epitaktisch aufgebaute Region sich bis an die Oberfläche der STI-Region 56 erstrecken kann.
  • In 3A und 3B wird eine erste ILD-Schicht 74 über der Zwischenstruktur abgeschieden. Das erste ILD-Schicht 74 kann aus einem Dielektrikum gebildet werden und kann durch jedes geeignete Verfahren abgeschieden werden, wie etwa CVD, plasmaverstärktes CVD (PECVD), oder FCVD. Dielektrika können Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), undotiertes Silikatglas (USG), oder dergleichen umfassen. Andere Isoliermaterialien, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. In einigen Ausführungsformen ist eine Kontaktätzstoppschicht (CESL) 72 zwischen der ersten ILD-Schicht 74 und den epitaktischen Source/Drain-Regionen 70, den Masken 64 und den Gateabstandhaltern 66 angeordnet. Die CESL 72 kann ein Dielektrikum umfassen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, das eine andere Ätzrate aufweist als das Material der darüberliegenden ersten ILD-Schicht 74.
  • In 4A und 4B kann ein Planarisierungsprozess, wie etwa ein CMP, durchgeführt werden, um die obere Fläche der ersten ILD-Schicht 74 an die oberen Flächen der Dummygates 62 oder der Masken 64. Der Planarisierungsprozess kann auch die Masken 64 an den Dummygates 62 und Abschnitte des Gateabstandhalters 66 entlang von Seitenwänden der Masken 64 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Dummygates 62, der Gateabstandhalter 66 und der ersten ILD-Schicht 74 eben. Dementsprechend werden die oberen Flächen des Dummygates 62 durch die erste ILD-Schicht 74 freigelegt. In einigen Ausführungsformen können die Masken 64 zurückbleiben, in welchem Fall der Planarisierungsprozess die obere Fläche der ersten ILD-Schicht 74 an die oberen Flächen der oberen Fläche der Masken 64 angleicht.
  • In 5A und 5B sind die Dummygates 62 entfernt und durch Metallgates 80 ersetzt. Die Metallgates 80 umfassen Gatedielektrika 82 und Gateelektroden 84. Als ein Beispiel für das Bilden der Metallgates 80 werden die Dummygates 62 und die Masken 64, wenn vorhanden, in einem oder mehreren Ätzschritten entfernt, sodass Ausschnitte gebildet werden. Abschnitte der Dummygatedielektrika 60 in den Ausschnitten können ebenfalls entfernt werden. In einigen Ausführungsformen werden nur die Dummygates 62 entfernt und die Dummygatedielektrika 60 bleiben und werden durch die Ausschnitte freigelegt. In einigen Ausführungsformen werden die Dummygatedielektrika 60 aus den Ausschnitten in einer ersten Region eines Dies (z. B. einer Kernlogikregion) entfernt und in Ausschnitten in einer zweiten Region des Dies (z. B. einer Eingabe-/Ausgaberegion) bleiben zurück. In einigen Ausführungsformen werden die Dummygates 62 durch einen anisotropen Trockenätzprozess entfernt. Beispielsweise kann der Ätzprozess einen Trockenätzprozess umfassen, der ein oder mehrere Reaktionsgase verwendet, die selektiv die Dummygates 62 ohne Ätzen der ersten ILD-Schicht 74 oder der ersten Abstandhalter 66 ätzen. Die Ausschnitte legen die Finnen 52 frei. Speziell sind die Kanalregionen 58 durch die Ausschnitte freigelegt. Jede Kanalregion 58 ist zwischen benachbarten Paaren der epitaktischen Source/Drain-Regionen 70 angeordnet. Während der Entfernung kann die Dummygatedielektrika 60 als Ätzstoppschichten verwendet werden, wenn die Dummygates 62 geätzt werden. Die Dummygatedielektrika 60 können dann optional nach dem Entfernen der Dummygates 62 entfernt werden. Nach dem Entfernen werden die Gatedielektrika 82 konform in den Ausschnitten abgeschieden, wie etwa an den oberen Flächen und den Seitenwände der Finnen 52 und an Seitenwänden des Gateabstandhalters 66. Die Gatedielektrika 82 können auch an der oberen Fläche der ersten ILD-Schicht 74 gebildet sein. Nach einigen Ausführungsformen umfassen die Gatedielektrika 82 Siliziumoxid, Siliziumnitrid oder mehrere Schichten davon. In einigen Ausführungsformen umfassen die Gatedielektrika 82 ein Dielektrikum mit hohem k-Wert, und in diesen Ausführungsformen können die Gatedielektrika 82 einen höheren k-Wert aufweisen als ca. 7,0 und ein Metalloxid oder ein Silikat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen daraus umfassen. Die Bildungsverfahren der Gatedielektrika 82 können Molekularstrahlabscheidung (MBD), Atomlagenabscheidung (ALD), PECVD und dergleichen umfassen. In Ausführungsformen, in denen Abschnitte der Dummygatedielektrika 60 in den Ausschnitten zurückbleiben, umfassen die Gatedielektrika 82 ein Material der Dummygatedielektrika 60 (z. B. SiO2). Die Gateelektroden 84 sind jeweils über den Gatedielektrika 82 angeordnet und füllen die verbleibenden Abschnitte der Ausschnitte. Die Gateelektroden 84 können ein metallhaltiges Material wie TiN, TiO, TaN, TaC, Co, Ru, Al, W, Kombinationen daraus oder mehrere Schichten davon umfassen. Beispielsweise ist zwar eine Gateelektrode 84 mit einer Schicht illustriert, jede Gateelektrode 84 kann jedoch jede beliebige Anzahl von Auskleidungsschichten, jede beliebige Anzahl von Austrittsarbeitsanpassungsschichten und ein Füllmaterial umfassen. Nach dem Füllen der Gateelektroden 84 kann ein Planarisierungsprozess wie ein CMP ausgeführt werden, um überschüssige Abschnitte der Gatedielektrika 82 und das Material der Gateelektroden 84 zu entfernen, dessen überschüssige Abschnitte über der oberen Fläche der ersten ILD-Schicht 74 liegen. Die verbleibenden Abschnitte des Materials der Gateelektroden 84 und der Gatedielektrika 82 bilden so Ersatzgates der entstehenden FinFETs. Die Metallgates 80 können auch als „Gatestapel“ oder „Ersatzgatestapel“ bezeichnet werden“ Die Metallgates 80 können sich entlang von Seitenwänden einer Kanalregion 58 der Finnen 52 erstrecken.
  • Das Bilden der Gatedielektrika 82 in der Region 50N und der Region 50P kann gleichzeitig auftreten, sodass die Gatedielektrika 82 in jeder Region aus denselben Materialien gebildet sind und das Bilden der Gateelektroden 84 gleichzeitig auftreten kann, sodass die Gateelektroden 84 in jeder Region aus denselben Materialien gebildet sind. In einigen Ausführungsformen können die Gatedielektrika 82 in jeder Region durch eigene Prozess gebildet sein, sodass die Gatedielektrika 82 verschiedene Materialien enthalten können, und/oder die Gateelektroden 84 in jeder Region durch getrennte Prozesse gebildet sein können, sodass die Gateelektroden 84 unterschiedliche Materialien enthalten können. Verschiedene Maskierungsschritte können verwendet werden, um geeignete Regionen bei der Verwendung getrennter Prozesse zu maskieren und freizulegen.
  • 6A bis 10B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen Ausführungsformen. 6A, 7A, 8A, 9A und 10A sind Querschnittsansichten, die entlang Referenzquerschnitt A-A in 1 illustriert sind. 6B, 7B, 8B, 9B und 10B sind Draufsichten.
  • 6A bis 10B zeigen eine Ausführungsform, in der epitaktische Source/Drain-Regionen 70 nicht an alle Metallgates 80 angrenzend gebildet sind. Einige der Metallgates 80 können an eine oder keine epitaktischen Source/Drain-Regionen 70 angrenzen. Beispielsweise illustriert 6A Metallgates 80A, die an Paare epitaktischer Source/Drain-Regionen 70 angrenzen und über jeweiligen Kanalregionen 58 liegen, und illustriert außerdem Metallgates 80B, die nur an eine epitaktische Source/Drain-Region 70 angrenzen und nicht über jeweiligen Kanalregionen gebildet sind.
  • Ferner zeigen 6A bis 10B eine Ausführungsform, in der ein Transistor aus mehreren Finnen gebildet ist, z. B. eine Finnengruppe 52G (siehe 2C). So erstrecken sich Kontakte mit den epitaktischen Source/Drain-Regionen 70 (weiter unten besprochen) über jeweilige Finnengruppen 52G. Es sollte angemerkt werden, dass ähnliche Techniken wie die nachfolgend besprochenen für Ausführungsformen verwendet werden können, in denen ein Transistor aus einer einzigen Finne 52S gebildet ist (siehe 2D).
  • In 6A sind eine oder mehrere Maskierungsschicht(en) 90 über den Metallgates 80 und der ersten ILD-Schicht 74 gebildet. 6B ist eine Draufsicht, wobei 6A entlang Referenzquerschnitt A-A in 6B illustriert ist, wobei jedoch einige Merkmale um der Klarheit der Illustration Willen ausgelassen sind. In der dargestellten Ausführungsform ist/sind die Maskierungsschicht(en) 90 eine mehrschichtige Struktur, die eine untere Maskierungsschicht 90A und eine obere Maskierungsschicht 90B auf der unteren Maskierungsschicht 90A umfasst. In einigen Ausführungsformen wird eine einzige Maskierungsschicht 90 verwendet. Eine Schnittmaske 92 wird dann über der/den Maskierungsschicht(en) 90 gebildet. Die Schnittmaske 92 weist Schnittöffnungen 94 auf, die die Maskierungsschicht(en) 90 freilegen, wie etwa die obere Maskierungsschicht 90B in Ausführungsformen, in denen die Maskierungsschicht(en) 90 mehrschichtig sind.
  • Die untere Maskierungsschicht 90A ist über den Metallgates 80 und dem ersten ILD gebildet. Die untere Maskierungsschicht 90A kann aus einem Material gebildet sein, das ein Metall (z. B. Titannitrid, Titan, Tantalnitrid, Tantal, ein metalldotiertes Karbid (z. B. Wolframkarbid) oder dergleichen) und/oder ein Metalloid (z. B. Siliziumnitrid, Bornitrid, Siliziumkarbid oder dergleichen umfasst). In einigen Ausführungsformen ist eine Materialzusammensetzung der unteren Maskierungsschicht 90A bestimmt, die eine hohe Ätzselektivität bezüglich anderer Schichten bereitstellt, wie etwa der ersten ILD-Schicht 74, und/oder bezüglich nachfolgend gebildeter Schichten, wie etwa der oberen Maskierungsschicht 90B (nachfolgend genauer beschrieben). Die untere Maskierungsschicht 90A kann durch einen Prozess wie etwa chemische Gasphasenabscheidung (CVD), ALD oder dergleichen gebildet werden. Andere Prozesse und Materialien können verwendet werden. In einigen Ausführungsformen weist die untere Maskierungsschicht 90A eine Dicke in dem Bereich von etwa 15 nm bis etwa 20 nm auf, auch wenn in anderen Ausführungsformen die untere Maskierungsschicht 90A eine andere Dicke aufweisen kann. In nachfolgenden Verarbeitungsschritten wird eine Struktur in der unteren Maskierungsschicht 90A unter Verwendung der hierin beschriebenen Strukturierungstechniken gebildet. Die untere Maskierungsschicht 90A wird dann als eine Ätzmaske verwendet, um die erste ILD-Schicht 74 zu ätzen, in der die Struktur der unteren Maskierungsschicht 90A auf die erste ILD-Schicht 74 übertragen wird.
  • Die obere Maskierungsschicht 90B ist auf der unteren Maskierungsschicht 90A gebildet. In nachfolgenden Verarbeitungsschritten wird eine Struktur in der oberen Maskierungsschicht 90B unter Verwendung der hierin beschriebenen Strukturierungstechniken gebildet. Die strukturierte obere Maskierungsschicht 90B wird dann als eine Ätzmaske zur Strukturierung der unteren Maskierungsschicht 90A verwendet. Die obere Maskierungsschicht 90B kann aus einem Siliziumoxid gebildet sein, wie etwa aus Borphosphosilikattetraethylorthosilikat (BPTEOS) oder undotiertem Tetraethylorthosilikatoxid (TEOS-Oxid), und kann durch CVD, ALD, plasmaverstärkte Atomlagenabscheidung (PEALD), Spin-on-Beschichtung oder dergleichen gebildet werden. Die obere Maskierungsschicht 90B kann eine Niedertemperaturoxidschicht (LT-Oxidschicht) umfassen, die bei einer niedrigen Temperatur abgeschieden wird, beispielsweise niedriger als etwa 100 °C. In einigen Ausführungsformen kann eine Materialzusammensetzung der oberen Maskierungsschicht 90B bestimmt werden, um eine hohe Ätzselektivität bezüglich anderer Schichten wie etwa der unteren Maskierungsschicht 90A bereitzustellen. Die obere Maskierungsschicht 90B kann mehr als eine Schicht umfassen und kann aus mehr als einem Material gebildet sein. In einigen Ausführungsformen weist die obere Maskierungsschicht 90B eine Dicke in dem Bereich von etwa 38 nm bis etwa 40 nm auf, auch wenn in anderen Ausführungsformen die obere Maskierungsschicht 90B eine andere Dicke aufweisen kann. In nachfolgenden Verarbeitungsschritten wird eine Struktur in der oberen Maskierungsschicht 90B unter Verwendung der hierin beschriebenen Strukturierungstechniken gebildet.
  • Die Schnittmaske 92 ist aus einem Dielektrikum gebildet, das eine hohe Ätzselektivität bezüglich der Maskierungsschicht(en) 90 relativ zu dem Ätzprozess aufweist, der verwendet wird, die Schnittöffnungen 94 zu strukturieren. Beispielsweise kann die Schnittmaske 92 ein anorganisches Material umfassen, wie etwa ein Nitrid (wie etwa Siliziumnitrid), ein Oxynitrid (wie etwa Siliziumoxynitrid), ein Oxid (wie etwa Siliziumoxid) oder dergleichen, und kann durch einen Prozess wie CVD, ALD, Spin-on-Beschichtung oder dergleichen gebildet sein. In einigen Ausführungsformen ist die Schnittmaske 92 aus Siliziumnitrid gebildet. Als ein Beispiel des Bildens der Schnittmaske 92 kann eine Schicht aus Dielektrikum mit einer Dicke in dem Bereich von etwa 30 nm bis etwa 35 nm abgeschieden werden. Die Schicht des Dielektrikums kann dann mit Schnittöffnungen 94 strukturiert werden, die die Maskierungsschicht(en) 90 freilegen. Die Schnittöffnungen 94 können mit annehmbaren Photolithographie- und Ätzverfahren strukturiert werden. Beispielsweise kann ein anisotropes Nassätzen unter Verwendung eines Photoresists als eine Ätzmaske zum Strukturieren der Schnittöffnungen 94 ausgeführt werden.
  • Die Schnittmaske 92 weist Schnittabschnitte 92C und Zuschnittsabschnitte 92T auf. Die Schnittabschnitte 92C sind Streifen und können im Wesentlichen einheitliche Breiten in der Draufsicht aufweisen. Weiterhin laufen die Schnittabschnitte 92C rechtwinklig zu den Metallgates 80 und überlappen diese, laufen jedoch parallel zu den Finnen 52, ohne diese zu überlappen. Jeder Schnittabschnitt 92C ist seitlich zwischen einer oder mehreren Finnen 52 angeordnet, wie etwa direkt über Merkmalen, die zwischen den einen oder mehreren Finnen 52 angeordnet sind. Jeder Schnittabschnitt 92C ist seitlich zwischen jeweiligen Finnengruppen 52G (oder jeweiligen Finnen 52S, siehe 2D) angeordnet. In anderen Worten, eine Finnengruppe 52G (oder Finne 52S, siehe 2D) ist seitlich zwischen einem benachbarten Paar der Schnittabschnitte 92C angeordnet. Wie weiter unten erklärt, definieren die Schnittabschnitte 92C, wo sich Schnitte zwischen aufeinander folgend gebildeten Kontakten befinden. Die Zuschnittsabschnitte 92T sind rechtwinklig zu den Schnittabschnitten 92C. Z. B. laufen die Zuschnittsabschnitte 92T rechtwinklig zu den Finnen 52 und überlappen diese, aber parallel zu den Metallgates 80, ohne diese zu überlappen. Jeder Zuschnittsabschnitt 92T ist seitlich zwischen zwei Metallgates 80 angeordnet, wie etwa direkt über Merkmalen, die zwischen den zwei Metallgates 80 angeordnet sind. Jeder Zuschnittsabschnitt 92T erstreckt sich über Finnengruppe 52G (oder eine jeweilige Finne 52S, siehe 2D), und verbindet die Schnittabschnitte 92C, die sich entlang der jeweiligen Finnengruppe 52G (oder der jeweiligen Finne 52S, siehe 2D) erstrecken. Wie weiter unten besprochen wird, definieren die Zuschnittsabschnitte 92T, wo Kontakte nachfolgend gebildet werden.
  • Wie in 6A dargestellt ist, enthalten einige unbenutzte Regionen 52U der Finnen 52 (auch als Dummyregionen bezeichnet) keine epitaktischen Source/Drain-Regionen 70, und einige Regionen der Finnen 52 enthalten keine Kanalregionen 58. Die unbenutzten Regionen 52U der Finnen 52 können zwischen den Metallgates 80B, z. B. zwischen den Metallgates 80, die nicht zwischen jeweiligen benachbarten Paaren der epitaktischen Source/Drain-Regionen 70 angeordnet sind, angeordnet sein. Die CESL 72 kontaktiert die oberen Flächen der unbenutzten Regionen 52U der Finnen 52 direkt und erstreckt sich an diesen entlang. Speziell können Paare der Gateabstandhalter 66 unbenutzte Regionen 52U der Finnen 52 freilegen, wobei sich die CESL 72 fortlaufend über Abschnitte der Finnen 52 zwischen dem Paar Gateabstandhalter 66 und entlang von Seitenwänden der Gateabstandhalter 66 erstreckt. Nach einigen Ausführungsformen sind die Zuschnittsabschnitte 92T über den ungenutzten Regionen 52U jeder der Finnen 52 gebildet. Wie oben angemerkt ist, definieren die Zuschnittsabschnitte 92T, wo Kontakte nachfolgend gebildet werden. Weil keine epitaktischen Source/Drain-Regionen 70 in den unbenutzten Regionen 52U der Finnen 52 vorhanden sind, wären alle Kontakte, die an unbenutzten Regionen 52U der Finnen 52 gebildet sind, elektrisch isoliert und würde ungenutzt bleiben. Sie wären z. B. Dummykontakte. Solche Dummykontakte würden eine parasitische Kapazität an angrenzenden Metallgates 80 induzieren. Durch Bilden der Zuschnittsabschnitte 92T über den unbenutzten Regionen 52U der Finnen 52 kann die Bildung unerwünschter Dummykontakte mit den unbenutzten Regionen 52U der Finnen 52 vermieden werden. Speziell sind alle dielektrischen Merkmale (z. B. der Gateabstandhalter 66, die CESL 72 und die erste ILD-Schicht 74), die sich über den unbenutzten Regionen 52U der Finnen 52 und zwischen den Metallgates 80B befinden, frei von leitfähigen Merkmalen.
  • Wie in 6B dargestellt wurde, sind die Schnittöffnungen 94 durch die Schnittabschnitte 92C und Zuschnittsabschnitte 92T definiert. Einige Schnittöffnungen 94 sind durch zwei Schnittabschnitte 92C und zwei Zuschnittsabschnitte 92T definiert. Speziell können mindestens einige der Schnittöffnungen 94R regelmäßige Formen in der Draufsicht aufweisen, z. B. quadriseitliche Formen, wobei die Schnittöffnungen 94R durch vier gerade Segmente des Dielektrikums der Schnittmaske 92 definiert sind. Umgekehrt können andere Schnittöffnungen 94N in der Draufsicht unregelmäßige Formen aufweisen, und sie sind durch mehr als vier gerade Segmente des Dielektrikums der Schnittmaske 92 definiert. Beispielsweise können die Schnittöffnungen 94N durch mehr als zwei Schnittabschnitte 92C und mehr als zwei Zuschnittsabschnitte 92T definiert sein.
  • Die Schnittöffnungen 94 können verschiedene Formen und Größen aufweisen, abhängig von den Positionen und Längen der Schnittabschnitte 92C und Zuschnittsabschnitte 92T. Die Mindestabmessungen der Schnittöffnungen 94R können jedoch basierend auf den Grenzen eines Photographieprozesses, der verwendet wird, nachfolgend gebildete Kontakte zu strukturieren, und basierend auf der Breite W1 und dem Abstand P1 der Finnen 52 eingeschränkt werden (siehe 2B). Ferner können angrenzende Zuschnittsabschnitte 92T nahe zusammen gebildet werden. In Fortführung des obigen Beispiels kann der Abstand zwischen Schnittabschnitten 92C auf einen Mindestabstand D1 beschränkt werden, der in dem Bereich von etwa 30 nm bis etwa 42 nm liegen kann, und der Mindestabstand zwischen den Zuschnittsabschnitten 92T kann auch auf einen Mindestabstand D2 beschränkt werden, der in dem Bereich von etwa 50 nm bis etwa 100 nm liegen kann. In dieser Ausführungsform kann der Abstand D2 kleiner als der Abstand D1 sein. Ferner sind die Zuschnittsabschnitte 92T mit einer Breite W2 gebildet, die entlang einer Richtung parallel zu den Längsachsen der Finnen 52 gemessen wird. Die Breite W2 kann im Bereich von ca. 30 nm bis ca. 40 nm liegen. Das Einschränken der Abmessungen der Öffnungen 94R erlaubt das Strukturieren der ersten ILD-Schicht 74 ohne Unterätzen, vor allem, wenn mehrere Masken (z. B. die Schnittmaske 92 und die Leitungsmaske 96) verwendet werden, um die erste ILD-Schicht 74 zu strukturieren.
  • In 7A wird eine Leitungsmaske 96 auf der Schnittmaske 92 und an den Abschnitten der Maskierungsschicht(en) 90 gebildet, die durch die Schnittöffnungen 94 freigelegt werden. 7B ist eine Draufsicht, wobei 7A entlang Referenzquerschnitt A-A in 7B illustriert ist, wobei jedoch einige Merkmale um der Klarheit der Illustration Willen ausgelassen sind. Die Leitungsmaske 96 weist Schlitzöffnungen 98 auf, die die darunterliegenden Schnittmasken- 92 und Maskierungsschicht(en) 90 freilegen. Die Schlitzöffnungen 98 in der Leitungsmaske 96 definieren Regionen, in denen Kontakte in der ersten ILD-Schicht 74 gebildet und mit den epitaktischen Source/Drain-Regionen 70 der entstehenden FinFETs gekoppelt werden können. Wie weiter unten besprochen, definieren die Schnittöffnungen 94 in der Schnittmaske 92, wo sich Schnitte zwischen den Kontakten befinden, die nachfolgend in der ersten ILD-Schicht 74 gebildet werden. Einige Abschnitte der Leitungsmaske 96 sind in den Öffnungen 94R gebildet (siehe 6B).
  • Die Leitungsmaske 96 kann aus einem Photoresist gebildet sein, wie etwa einem einschichtigen Photoresist, einem zweischichtigen Photoresist, einem dreischichtigen Photoresist oder dergleichen. In einigen Ausführungsformen ist die Leitungsmaske 96 eine dreischichtige Maske, die eine untere Schicht (z. B. eine untere Antireflexionsbeschichtungsschicht (BARC-Schicht)), eine mittlere Schicht (z. B. ein Nitrid, ein Oxid, ein Oxynitrid oder dergleichen) und eine obere Schicht (z. B. ein Photoresist) umfasst. Die Art der verwendeten Maske (z. B. einschichtige Maske, zweischichtige Maske, dreischichtige Maske usw.) kann von dem Photolithographieprozess abhängen, der verwendet wird, die Leitungsmaske 96 zu strukturieren. Beispielsweise kann bei Extrem-Ultraviolett-Lithographieprozessen (EUV-Lithographieprozessen) die Leitungsmaske 96 eine Einzelschichtmaske oder eine Zweischichtmaske sein. Die Leitungsmaske 96 kann unter Verwendung annehmbarer Photolithographietechniken strukturiert werden, um die Schlitzöffnungen 98 zu bilden. Die Schlitzöffnungen 98 sind Streifen und können im Wesentlichen einheitliche Breiten in der Draufsicht aufweisen. Weiterhin laufen die Schlitzöffnungen 98 rechtwinklig zu den Finnen 52 und überlappen diese, laufen jedoch parallel zu den Metallgates 80, ohne diese zu überlappen. Die Schlitzöffnungen 98 überlappen auch die erste ILD-Schicht 74 und die Schnittmaske 92. Die Überlappungsregionen zwischen den Schlitzöffnungen 98 und der Schnittmaske 92 entsprechen den Schnitten, die sich zwischen den Kontakten befinden, die nachfolgend in der ersten ILD-Schicht 74 gebildet sind.
  • Die Schlitzöffnungen 98 sind mit einer Breite W3 gebildet, die entlang einer Richtung parallel zu den Längsachsen der Finnen 52 gemessen wird. Die Breite W3 kann im Bereich von ca. 13 nm bis ca. 15 nm liegen. Anzumerken ist, dass die Breite W3 der Schlitzöffnungen 98 geringer ist als die Breite W2 der Zuschnittsabschnitte 92T der Schnittmaske 92 (siehe 6B). Durch Einschränken der Breite W3 auf weniger als die Breite W2 können die unbenutzten Regionen 52U der Finnen 52 während der nachfolgenden Strukturierung vollständig geschützt bleiben, sodass die Bildung unerwünschter Dummykontakte mit den ungenutzten Regionen 52U der Finnen 52 vermieden werden kann.
  • In 8A werden die Maskierungsschicht(en) 90 unter Verwendung der Leitungsmaske 96 und der Schnittmaske 92 (siehe 7A) als eine kombinierte Ätzmaske geätzt, um die Schlitzöffnungen 98 durch die Maskierungsschicht(en) 90 zu erweitern. 8B ist eine Draufsicht, wobei 8A entlang Referenzquerschnitt A-A in 8B illustriert ist, wobei jedoch einige Merkmale um der Klarheit der Illustration Willen ausgelassen sind. Während des Ätzens wirkt die Schnittmaske 92 als eine Ätzstoppschicht, um zu verhindern, dass sich die Schlitzöffnungen 98 in die Abschnitte der Maskierungsschicht(en) 90 erstrecken, die direkt unter der Schnittmaske 92 liegen. In anderen Worten, die Abschnitte der ersten ILD-Schicht 74, die durch die Leitungsmaske 96 und Schnittmaske 92 freigelegt werden (und damit durch die Schlitzöffnungen 98 und Schnittöffnungen 94 freigelegt sind) werden geätzt. Das Ätzen kann anisotrop sein, sodass die Schlitzöffnungen 98 durch die Maskierungsschicht(en) 90 erstreckt werden und etwa dieselben Größen in der/den Maskierungsschicht(en) 90 aufweisen wie in der Leitungsmaske 96. Das Ätzen kann einen oder mehrere Ätzprozesse umfassen, der/die die Maskierungsschicht(en) 90 angreifen, aber nicht die Schnittmaske 92 angreifen. Wenn beispielsweise die Maskierungsschicht(en) 90 mehrere Schichten umfasst/umfassen, kann ein erster Ätzprozess ausgeführt werden, um die obere Maskierungsschicht 90B zu strukturieren, und ein zweiter Ätzprozess kann durchgeführt werden, um die untere Maskierungsschicht 90A zu strukturieren.
  • Der erste Ätzprozess kann einen Trockenätzprozess umfassen, der eine hohe Ätzselektivität der oberen Maskierungsschicht 90B relativ zur unteren Maskierungsschicht 90A und Schnittmaske 92 aufweist. In einigen Ausführungsformen kann der Trockenätzprozess ein induktiv gekoppeltes Plasma umfassen, das mit einer Leistung in dem Bereich von etwa 150 Watt bis etwa 1500 Watt erzeugt wird, und kann mit einem Druck in dem Bereich von etwa 3 mTorr bis etwa 80 mTorr ausgeführt werden (1 Torr ≈ 133 Pa). In einigen Ausführungsformen kann der Trockenätzprozess ein fluorbasiertes Ätzgas wie CF4, CH2F2, CHF3 oder eine andere Art von Prozessgas sein. Andere Ätztechniken können in anderen Ausführungsformen verwendet werden. Während des Ätzens der oberen Maskierungsschicht 90B kann die Leitungsmaske 96 wenigstens teilweise aufgebraucht werden. In Ausführungsformen, in denen die Leitungsmaske 96 nicht vollständig aufgebraucht wird, wenn die obere Maskierungsschicht 90B geätzt wird, kann ein geeigneter Entfernungsprozess (z. B. ein Asche- oder Stripping-Prozess) durchgeführt werden, um verbleibende Reste der Leitungsmaske 96 zu entfernen.
  • Der zweite Ätzprozess kann einen Nassätzprozess umfassen, der eine hohe Ätzselektivität der unteren Maskierungsschicht 90B relativ zur unteren Maskierungsschicht 90B, der ersten ILD-Schicht 74 und der Schnittmaske 92 aufweist. In einigen Ausführungsformen kann der Nassätzprozess ein Ätzmittel wie etwa verdünnte Flusssäure (verdünnte HF), entionisiertes Wasser (DIW), SC-1 (z. B. eine Kombination aus DIW, Ammoniakwaser und wässrigem H2O2) oder dergleichen verwenden, und kann bei einer Temperatur in dem Bereich von etwa 50 °C bis etwa 70 °C ausgeführt werden. Andere Ätztechniken können verwendet in anderen Ausführungsformen verwendet werden. Nach dem zweiten Ätzprozess werden Abschnitte der ersten ILD-Schicht 74 freigelegt. Alle verbleibenden Abschnitte der Schnittmaske 92 können dann entfernt werden, etwa durch einen Nassreinigungsprozess.
  • Die Verwendung von zwei Masken (z. B. der Leitungsmaske 96 und der Schnittmaske 92) zum Strukturieren der Schlitzöffnungen 98 in der/den Maskierungsschicht(en) 90 erlaubt das Erhalten einer Einheitlichkeit der Struktur in der/den Maskierungsschicht(en) 90. Speziell erlaubt das bilden der Leitungsmaske 96 mit Schlitzöffnungen 98 das Erhalten eines einheitlichen Abstands zwischen den Schlitzöffnungen 98 und das Bilden der Schnittmaske 92 mit Schnittabschnitten 92C erlaubt das Erhalten eines einheitlichen Abstands zwischen Schnitten.
  • Die erste ILD-Schicht 74 wird dann unter Verwendung der strukturierten Maskierungsschicht(en) 90 als eine Ätzmaske geätzt, um die Schlitzöffnungen 98 durch die erste ILD-Schicht 74 und die CESL 72 zu erweitern. Die Schlitzöffnungen 98 können unter Verwendung von akzeptablen Ätztechniken erweitert werden. Beispielsweise können die SchlitzÖffnungen 98 durch die erste ILD-Schicht 74 unter Verwendung eines ersten Ätzprozesses erweitert werden, und die CESL 72 kann dann unter Verwendung eines zweiten Ätzprozesses geöffnet werden. Die Maskierungsschicht(en) 90 kann/können während des Ätzens verbraucht werden, oder können nach dem Ätzen entfernt werden. Die Entfernung kann z. B. durch einen Nassreinigungsprozess, einen CMP-Prozess oder dergleichen erfolgen.
  • Die Schlitzöffnungen 98 legen die epitaktischen Source/Drain-Regionen 70 und Abschnitte der STI-Regionen 56 frei. Wie oben angemerkt, definieren die Zuschnittsabschnitte 92T der Schnittmaske 92 (siehe 6B), wo Schlitzöffnungen 98 nicht gebildet werden, wobei die Zuschnittsabschnitte 92T über den unbenutzten Regionen 52U der Finnen 52 gebildet werden. Als Ergebnis davon legt jede der Schlitzöffnungen 98 mindestens eine epitaktische Source/Drain-Region 70 frei. In anderen Worten, wie in 8B dargestellt, legen keine der Schlitzöffnungen 98 die nicht verwendeten Regionen 52U der Finnen 52 frei. Ferner legt ein Teilsatz der Schlitzöffnungen 98S mehrere epitaktische Source/Drain-Regionen 70 frei und definiert Regionen, in denen geteilte Kontakte für die freigelegten epitaktischen Source/Drain-Regionen 70 gebildet werden. Vorteilhaft kann durch Vermeiden der Bildung von Schlitzöffnungen 98 an unbenutzten Regionen 52U der Finnen 52 die Bildung unerwünschter Dummykontakte vermieden werden.
  • In 9A sind untere Source/Drain-Kontakte 100 in den Schlitzöffnungen 98 (siehe 8A und 8B) gebildet. 9B ist eine Draufsicht, wobei 9A entlang Referenzquerschnitt A-A in 9B illustriert ist, wobei jedoch einige Merkmale um der Klarheit der Illustration Willen ausgelassen sind. Eine Auskleidung, wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen und ein leitfähiges Material sind in den Schlitzöffnungen 98 gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie etwa ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche der ersten ILD-Schicht 74 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden die unteren Source/Drain-Kontakte 100 in den Schlitzöffnungen 98. Ein Temperprozess kann durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den epitaktischen Source/Drain-Regionen 70 und den unteren Source/Drain-Kontakten 100 zu bilden. Die unteren Source/Drain-Kontakte 100 sind physisch und elektrisch mit den epitaktischen Source/Drain-Regionen 70 gekoppelt.
  • Wie oben angemerkt, definieren die Zuschnittsabschnitte 92T der Schnittmaske 92 (siehe 6B), wo Kontakte nicht gebildet werden, wobei die Zuschnittsabschnitte 92T über den unbenutzten Regionen 52U der Finnen 52 gebildet werden. Als Ergebnis davon ist jeder der unteren Source/Drain-Kontakte 100 mit mindestens einer epitaktischen Source/Drain-Region 70 gekoppelt. In anderen Worten ist keiner der unteren Source/Drain-Kontakte 100 mit den unbenutzten Regionen 52U der Finnen 52 gekoppelt. Stattdessen werden alle der unbenutzten Regionen 52U der Finnen 52 durch die CESL 72 kontaktiert (siehe 9A). Ferner ist ein Teilsatz der unteren Source/Drain-Kontakte 100S in den Schlitzöffnungen 98S gebildet, und wird daher mit mehreren epitaktischen Source/Drain-Regionen 70 gekoppelt. Die unteren Source/Drain-Kontakte 100S sind geteilte Kontakte, die in einigen Vorrichtungstypen gebildet werden können, wie etwa in Speichern, z. B. statischen Direktzugriffspeicherzellen (SRAM-Zellen).
  • Wie oben angemerkt, definieren die Schlitzöffnungen 98 der Leitungsmaske 96 (siehe 7A und 7B), wo die unteren Source/Drain-Kontakte 100 gebildet werden. Wie ebenfalls oben angemerkt, sind die Schlitzöffnungen 98 Streifen. Aufgrunddessen sind die unteren Source/Drain-Kontakte 100 ebenfalls Streifen, und erstrecken sich über jeweilige Finnengruppen 52G (oder jeweilige Finnen 52S, siehe 2D). Speziell weisen die unteren Source/Drain-Kontakte 100 Längen L1 entlang ihrer Längsachsen und Breiten W4 entlang ihrer Breitenachsen auf. Die Längen L1 sind größer als die Breiten W4 und die Längsachsen der unteren Source/Drain-Kontakte 100 sind rechtwinklig zu den Längsachsen der Finnen 52 (siehe 9B). Die Längsachsen der Finnen 52 und die unteren Source/Drain-Kontakte 100 sind parallel zu einer Hauptoberfläche des Substrats 50. Das Bilden der unteren Source/Drain-Kontakte 100 mit einer geschlitzten Maske erlaubt das Bilden der unteren untere Source/Drain-Kontakte 100 in kleinen Abmessungen. Beispielsweise kann die Längen L1 im Bereich von ca. 30 nm bis ca. 40 nm liegen und die Breiten W4 kann im Bereich von ca. 15 nm bis ca. 18 nm liegen.
  • In 10A ist eine zweite ILD-Schicht 110 über der ersten ILD-Schicht 74 und den unteren Source/Drain-Kontakten 100 angeordnet. 10B ist eine Draufsicht, wobei 10A entlang Referenzquerschnitt A-A in 10B illustriert ist, wobei jedoch einige Merkmale um der Klarheit der Illustration Willen ausgelassen sind. In einigen Ausführungsformen ist die zweite ILD-Schicht 110 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In einigen Ausführungsformen ist die zweite ILD-Schicht 110 aus einem Dielektrikum gebildet, wie etwa PSG, BSG, BPSG, USG oder dergleichen und kann durch jedes geeignete Verfahren abgeschieden werden, wie etwa CVD und PECVD. Nach einigen Ausführungsformen können vor dem Bilden des zweiten ILD-Schicht 110 die Metallgates 80 ausgeschnitten werden, sodass ein Ausschnitt direkt über den Metallgates 80 und zwischen gegenüberliegenden Abschnitten der Gateabstandhalter 66 gebildet wird. Eine Gatemaske (nicht dargestellt) die eine oder mehrere Schichten von Dielektrikum umfasst, wie etwa Siliziumnitrid, Siliziumoxynitrid oder dergleichen, wird in den Ausschnitt gefüllt, gefolgt von einem Planarisierungsprozess zum Entfernen von überschüssigen Abschnitten des Dielektrikums, die sich über das die ILD-Schicht 74 erstrecken.
  • Gatekontakte 114 und obere Source/Drain-Kontakte 116 sind dann durch die zweite ILD-Schicht 110 gebildet. Öffnungen für die Gatekontakte 114 und die oberen Source/Drain-Kontakte 116 sind durch die zweite ILD-Schicht 110 gebildet. Die Öffnungen können unter Verwendung von akzeptablen Photolithographie- und Ätztechniken gebildet werden. Eine Auskleidung, wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen und ein leitfähiges Material sind in den Öffnungen gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie etwa ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche der zweiten ILD-Schicht 110 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden die oberen Source/Drain-Kontakte 116 und Gatekontakte 114 in den Öffnungen. Die Source/Drain-Kontakte 116 sind physisch und elektrisch mit den unteren Source/Drain-Kontakten 100 gekoppelt und die Gatekontakte 114 sind physisch und elektrisch mit den Metallgates 80 gekoppelt. Die Gatekontakte 114 können durch die Gatemaske dringen, wenn diese vorhanden ist. Die oberen Source/Drain-Kontakte 116 und Gatekontakte 114 können in verschiedenen Prozessen gebildet werden oder können in demselben Prozess gebildet werden. Jeder der oberen Source/Drain-Kontakte 116 und Gatekontakte 114 kann in unterschiedlichen Querschnitten gebildet sein, die Kurzschlüsse der Kontakte vermeiden können.
  • Ausführungsformen können Vorteile erzielen. Bilden der Schnittmaske 92 mit Zuschnittsabschnitten 92T erlaubt den Schutz unbenutzter Regionen 52U der Finnen 52 bei der Bildung der unteren Source/Drain-Kontakte 100. Speziell die Zuschnittsabschnitte 92T der Schnittmaske 92 wirken während des Prozesses zur Strukturierung der ersten ILD-Schicht 74 als eine Ätzstoppschicht. Ohne die Zuschnittsabschnitte 92T würden die Dummykontakte an den unbenutzten Regionen 52U der Finnen 52 gebildet. Auch wenn solche Dummykontakte elektrisch isoliert wären und in einer abschließenden Vorrichtung nicht verwendet würden, würden solche Dummykontakte eine parasitische Kapazität an angrenzenden Metallgates 80 induzieren. Durch vollständige Vermeidung der Bildung von Dummykontakten kann eine solche parasitische Kapazität vermieden oder zumindest verringert werden. Die Leistung der entstehenden FinFETs kann so verbessert werden, insbesondere in einigen Anwendungen, wie etwa Ringoszillatoren, bei denen die Leistung um bis zu 1 % verbessert werden kann.
  • 11A bis 12B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen Ausführungsformen. 11A und 11B zeigen eine Struktur in einem ähnlichen Verarbeitungsschritt wie dem, der in 6A und 6B gezeigt wird. In dieser Ausführungsform wird eine Finnengruppe 52G (oder eine Finne 52S, siehe 2D) durch einen einzelnen Zuschnittsabschnitt 92T statt durch zwei Zuschnittsabschnitte 92T überkreuzt. So sind die Schnittöffnungen 94, die auf jeder Seite des Zuschnittsabschnitts 92T definiert sind, unregelmäßige Schnittöffnungen 94N. 12A und 12B zeigen eine Struktur in einem ähnlichen Verarbeitungsschritt wie dem, der in 10A und 10B gezeigt wird. Die unbenutzten Regionen 52U der Finnen 52 können an allen Seiten an untere Source/Drain-Kontakte 100 anstoßen.
  • 13A bis 15B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs nach einigen Ausführungsformen. 13A und 13B zeigen eine Struktur in einem ähnlichen Verarbeitungsschritt wie dem, der in 6A und 6B gezeigt wird. In dieser Ausführungsform wird eine Finnengruppe 52G (oder eine Finne 52S, siehe 2D) durch zwei Zuschnittsabschnitte 92T überkreuzt, und die Distanz D2 zwischen den Zuschnittsabschnitten 92T ist größer, sodass die Öffnungen 94R groß genug sein können, um die Bildung eines unteren Source/Drain-Kontakts 100 für eine darunterliegende epitaktische Source/Drain-Region 70 zu erlauben. In dieser Ausführungsform kann der Abstand D2 größer als der Abstand D1 sein. Speziell können die Zuschnittsabschnitte 92T nahe genug sein, dass die Öffnungen 94R groß genug sind, um die Bildung eines einzigen unteren Source/Drain-Kontakts 100 für eine einzige epitaktische Source/Drain-Region 70 zu erlauben. 14A und 14B zeigen eine Struktur in einem ähnlichen Verarbeitungsschritt wie dem, der in 7A und 7B gezeigt wird. In dieser Ausführungsform können die Mitten der Öffnungen 94R an den Mitten einer entsprechenden Schlitzöffnung 98R ausgerichtet sein. 15A und 15B zeigen eine Struktur in einem ähnlichen Verarbeitungsschritt wie dem, der in 10A und 10B gezeigt wird. Wie dargestellt, ist ein unterer Source/Drain-Kontakt 100R in dem Bereich gebildet, der durch die Öffnung 94R definiert ist. Speziell der untere Source/Drain-Kontakt 100R und seine entsprechende epitaktische Source/Drain-Region 70 sind seitlich zwischen benachbarten Paaren der unbenutzten Regionen 52U der Finnen 52 angeordnet.
  • In einer Ausführungsform umfasst ein Verfahren: das Bilden einer ersten Finne, die sich von einem Halbleitersubstrat erstreckt; das Züchten einer Source/Drain-Region in der ersten Finne; das Bilden eines Metallgates über der ersten Finne, wobei das Metallgate zwischen der Source/Drain-Region und einer ersten Dummyregion der ersten Finne angeordnet ist; das Abscheiden einer Zwischenschichtdielektrikumschicht (ILD-Schicht) über der Source/Drain-Region und der ersten Dummyregion; das Bilden einer Schnittmaske über der ILD-Schicht, wobei die Schnittmaske einen ersten Schnittabschnitt, einen zweiten Schnittabschnitt und einen ersten Zuschnittsabschnitt aufweist, wobei sich der erste Schnittabschnitt und der zweite Schnittabschnitt jeweils entlang einer Längsachse der ersten Finne erstrecken, wobei die erste Finne seitlich zwischen dem ersten Schnittabschnitt und dem zweiten Schnittabschnitt angeordnet ist, der erste Zuschnittsabschnitt sich mit dem ersten Schnittabschnitt zu dem zweiten Schnittabschnitt verbindet und der erste Zuschnittsabschnitt über der ersten Dummyregion angeordnet ist; Strukturieren einer Kontaktöffnung in der ILD-Schicht unter Verwendung der Schnittmaske als Ätzmaske, wobei Abschnitte der ILD-Schicht unter dem ersten Zuschnittsabschnitt über der ersten Dummyregion nach der Strukturierung zurückbleiben; und das Bilden eines Source/Drain-Kontakts in der Kontaktöffnung, wobei der Source/Drain-Kontakt mit der Source/Drain-Region gekoppelt ist.
  • In einigen Ausführungsformen umfasst das Verfahren ferner: Bilden einer zweiten Finne, die sich von dem Halbleitersubstrat erstreckt, wobei die zweite Finne seitlich zwischen dem ersten Schnittabschnitt und dem zweiten Schnittabschnitt der Schnittmaske angeordnet ist; Züchten der Source/Drain-Region in der zweiten Finne; und Bilden des Metallgates über der zweiten Finne, wobei das Metallgate zwischen der Source/Drain-Region und einer zweiten Dummyregion der zweiten Finne angeordnet ist, wobei der erste Zuschnittsabschnitt der Schnittmaske über der zweiten Dummyregion angeordnet ist. In einigen Ausführungsformen umfasst das Verfahren ferner: Bilden einer Kontaktätzstoppschicht (CESL) über der Source/Drain-Region und der ersten Dummyregion, wobei die ILD-Schicht über der CESL abgeschieden ist; und Ätzen der Kontaktöffnung durch die CESL, wobei die CESL physisch die erste Dummyregion kontaktiert und sich darüber erstreckt, nachdem die Kontaktöffnung geätzt wird. In einigen Ausführungsformen des Verfahrens umfasst das Strukturieren der Kontaktöffnung in der ILD-Schicht unter Verwendung der Schnittmaske als Ätzmaske: Bilden einer Leitungsmaske über der Schnittmaske, wobei die Leitungsmaske eine erste Schlitzöffnung umfasst, die erste Schlitzöffnung über der Source/Drain-Region angeordnet ist und die erste Schlitzöffnung den ersten Schnittabschnitt und den zweiten Schnittabschnitt der Schnittmaske freilegt; und Ätzen der Kontaktöffnung in Abschnitten der ILD-Schicht, die durch die erste Schlitzöffnung freigelegt ist und durch den ersten Schnittabschnitt und den zweiten Schnittabschnitt der Schnittmaske nicht bedeckt ist. In einigen Ausführungsformen des Verfahrens umfasst die Leitungsmaske eine zweite Schlitzöffnung, wobei die zweite Schlitzöffnung über der ersten Dummyregion angeordnet ist und die zweite Schlitzöffnung den ersten Zuschnittsabschnitt der Schnittmaske freilegt und ferner umfassend: Ätzabschnitte der ILD-Schicht, die durch die zweite Schlitzöffnung freigelegt sind, und durch den ersten Zuschnittsabschnitt der Schnittmaske freigelegt sind. In einigen Ausführungsformen des Verfahrens weist der erste Zuschnittsabschnitt der Schnittmaske eine erste Breite auf, die zweite Schlitzöffnung weist eine zweite Breite auf und die erste Breite ist größer als die zweite Breite. In einigen Ausführungsformen des Verfahrens weist die Schnittmaske einen zweiten Zuschnittsabschnitt auf, der zweite Zuschnittsabschnitt verbindet den ersten Schnittabschnitt mit dem zweiten Schnittabschnitt, der zweite Zuschnittsabschnitt ist über einer zweiten Dummyregion der ersten Finne angeordnet, wobei Abschnitte der ILD-Schicht unter dem zweiten Zuschnittsabschnitt nach der Strukturierung über der zweiten Dummyregion verbleiben. In einigen Ausführungsformen des Verfahrens ist der erste Schnittabschnitt von dem zweiten Schnittabschnitt durch einen ersten Abstand getrennt, der erste Zuschnittsabschnitt ist von dem zweiten Zuschnittsabschnitt durch einen zweiten Abstand getrennt, und der zweite Abstand ist größer als der erste Abstand. In einigen Ausführungsformen des Verfahrens liegt der erste Abstand in einem Bereich von 30 nm bis 42 nm, und der zweite Abstand in einem Bereich von 50 nm bis 100 nm.
  • In einer Ausführungsform umfasst ein Verfahren: Bilden von Finnen, die sich von einem Halbleitersubstrat erstrecken; Abscheiden einer Zwischenschichtdielektrikumschicht (ILD-Schicht) auf den Finnen; Bilden von Maskierungsschichten auf der ILD-Schicht; Bilden einer Schnittmaske auf den Maskierungsschichten, wobei die Schnittmaske ein erstes Dielektrikum umfasst und die Schnittmaske ersten Öffnungen aufweist, die die Maskierungsschichten freilegen, wobei jede der ersten Öffnungen auf allen Seiten durch das erste Dielektrikum umgeben ist; Bilden einer Leitungsmaske an der Schnittmaske und in den ersten Öffnungen, wobei die Leitungsmaske Schlitzöffnungen aufweist und die Schlitzöffnungen Abschnitte der Schnittmaske und Abschnitte der Maskierungsschichten freilegen, wobei die Schlitzöffnungen Streifen sind, die sich rechtwinklig zu den Finnen erstrecken; Strukturieren der Maskierungsschichten durch Ätzen der Abschnitte der Maskierungsschichten, die durch die ersten Öffnungen und die Schlitzöffnungen freigelegt werden; und Ätzen von Kontaktöffnungen in der ILD-Schicht unter Verwendung der strukturierten Maskierungsschichten als eine Ätzmaske.
  • In einigen Ausführungsformen des Verfahrens ist das erste Dielektrikum Siliziumnitrid und die Maskierungsschichten umfassen eine Titannitridschicht und eine Siliziumoxidschicht, wobei die Titannitridschicht zwischen der ILD-Schicht und der Siliziumoxidschicht angeordnet ist. In einigen Ausführungsformen des Verfahrens umfasst das Strukturieren der Maskierungsschichten: Ätzen der Siliziumoxidschicht mit einem Trockenätzprozess, wobei der Trockenätzprozess die Siliziumoxidschicht mit einer höheren Rate ätzt als die Schnittmaske; und Ätzen der Titannitridschicht mit einem Nassätzprozess, wobei der Nassätzprozess die Titannitridschicht mit einer höheren Rate ätzt als die Schnittmaske. In einigen Ausführungsformen des Verfahrens wird der Trockenätzprozess mit einem Ätzmittel ausgeführt, das CF4, CH2F2 oder CHF3 umfasst. In einigen Ausführungsformen des Verfahrens wird der Nassätzprozess mit einem Ätzmittel ausgeführt, das verdünnte Flusssäure, entionisiertes Wasser oder eine Kombination aus entionisiertem Wasser, Ammoniakwaser und wässrigem H2O2 umfasst. In einigen Ausführungsformen des Verfahrens weist eine der ersten Öffnungen eine erste Mitte auf, eine der Schlitzöffnungen weist eine zweite Mitte auf und die erste Mitte ist an der zweiten Mitte ausgerichtet. In einigen Ausführungsformen des Verfahrens ist ein erster Teilsatz der ersten Öffnungen auf vier Seiten durch gerade Segmente des ersten Dielektrikums umgeben. In einigen Ausführungsformen des Verfahrens ist ein zweiter Teilsatz der ersten Öffnungen auf mehr als vier Seiten durch gerade Segmente des ersten Dielektrikums umgeben.
  • In einer Ausführungsform umfasst eine Struktur: ein Halbleitersubstrat, das eine Hauptoberfläche aufweist; eine erste Finne, die sich von dem Halbleitersubstrat erstreckt, wobei die erste Finne eine erste Längsachse entlang einer ersten Richtung aufweist, wobei die erste Richtung parallel zu der Hauptoberfläche des Halbleitersubstrats ist; eine Source/Drain-Region in der ersten Finne; ein erstes Metallgate über der ersten Finne, wobei das erste Metallgate zwischen der Source/Drain-Region und einer Dummyregion der ersten Finne angeordnet ist; ein zweites Metallgate über der ersten Finne, wobei die Dummyregion zwischen dem zweiten Metallgate und dem ersten Metallgate angeordnet ist; eine Kontaktätzstoppschicht (CESL) über der Source/Drain-Region und der Dummyregion, wobei die CESL die Dummyregion kontaktiert und sich fortlaufend an ihr entlang erstreckt; eine erste Zwischenschichtdielektrikumschicht (ILD-Schicht) über der CESL; und einen ersten Source/Drain-Kontakt, der sich durch die erste ILD-Schicht und die CESL erstreckt, wobei der erste Source/Drain-Kontakt physisch die Source/Drain-Region kontaktiert, der erste Source/Drain-Kontakt eine Breite und eine Länge aufweist, wobei die Länge größer als die Breite ist, wobei die Länge entlang einer zweiten Richtung gemessen wird, wobei die zweite Richtung parallel zu der Hauptoberfläche des Halbleitersubstrats ist, wobei die zweite Richtung rechtwinklig zu der ersten Richtung ist.
  • In einigen Ausführungsformen umfasst die Struktur ferner: eine zweite ILD-Schicht über der ersten ILD-Schicht und dem ersten Source/Drain-Kontakt; und einen zweiten Source/Drain-Kontakt, der sich durch die zweite ILD-Schicht erstreckt, wobei der zweite Source/Drain-Kontakt physisch den ersten Source/Drain-Kontakt kontaktiert. In einigen Ausführungsformen umfasst die Struktur ferner: einen ersten Gateabstandhalter, der an das erste Metallgate angrenzt; und einen zweiten Gateabstandhalter, der an das zweite Metallgate angrenzt, wobei keine leitfähigen Merkmale in Abschnitten der CESL angeordnet sind und die erste ILD-Schicht über der ersten Finne und zwischen dem ersten Gateabstandhalter und dem zweiten Gateabstandhalter angeordnet ist.

Claims (20)

  1. Verfahren umfassend: Bilden einer ersten Finne (52), die sich von einem Halbleitersubstrat erstreckt; Züchten einer Source/Drain-Region (70) in der ersten Finne (52); Bilden eines Metallgates (80) über der ersten Finne (52), wobei das Metallgate zwischen der Source/Drain-Region (70) und einer ersten Dummyregion der ersten Finne angeordnet ist; Abscheiden einer Zwischenschichtdielektrikumschicht, ILD-Schicht (74), über der Source/Drain-Region (70) und der ersten Dummyregion; Bilden einer Schnittmaske (92) über der ILD-Schicht (74), wobei die Schnittmaske einen ersten Schnittabschnitt, einen zweiten Schnittabschnitt und einen ersten Zuschnittsabschnitt aufweist, wobei sich der erste Schnittabschnitt und der zweite Schnittabschnitt jeweils entlang einer Längsachse der ersten Finne (52) erstrecken, wobei die erste Finne seitlich zwischen dem ersten Schnittabschnitt und dem zweiten Schnittabschnitt angeordnet ist, der erste Zuschnittsabschnitt den ersten Schnittabschnitt mit dem zweiten Schnittabschnitt verbindet und der erste Zuschnittsabschnitt über der ersten Dummyregion angeordnet ist; Strukturieren einer Kontaktöffnung in der ILD-Schicht (74) unter Verwendung der Schnittmaske (92) als Ätzmaske, wobei Abschnitte der ILD-Schicht unter dem ersten Zuschnittsabschnitt über der ersten Dummyregion nach der Strukturierung zurückbleiben; und Bilden eines Source/Drain-Kontakts (100) in der Kontaktöffnung, wobei der Source/Drain-Kontakt mit der Source/Drain-Region (70) gekoppelt ist.
  2. Verfahren nach Anspruch 1, ferner umfassend: Bilden einer zweiten Finne (52), die sich von dem Halbleitersubstrat erstreckt, wobei die zweite Finne seitlich zwischen dem ersten Schnittabschnitt und dem zweiten Schnittabschnitt der Schnittmaske (92) angeordnet ist; Züchten der Source/Drain-Region (70) in der zweiten Finne (52); und Bilden des Metallgates (80) über der zweiten Finne (52), wobei das Metallgate zwischen der Source/Drain-Region (70) und einer zweiten Dummyregion der zweiten Finne angeordnet ist, wobei der erste Zuschnittsabschnitt der Schnittmaske (92) über der zweiten Dummyregion angeordnet ist.
  3. Verfahren nach Anspruch 1 oder 2, ferner umfassend: Bilden einer Kontaktätzstoppschicht, CESL (72), über der Source/Drain-Region (70) und der ersten Dummyregion, wobei die ILD-Schicht (74) über der CESL abgeschieden wird; und Ätzen der Kontaktöffnung durch die CESL, wobei die CESL die erste Dummyregion physisch kontaktiert und sich über diese erstreckt, nach dem Ätzen der Kontaktöffnung.
  4. Verfahren nach Anspruch 3, wobei die ILD-Schicht als eine erste ILD-Schicht (74) über der CESL gebildet ist; und der Source/Drain-Kontakt (100) ein erster Source/Drain-Kontakt ist, der sich durch die erste ILD-Schicht (74) und die CESL erstreckt, wobei der erste Source/Drain-Kontakt die Source/Drain-Region (70) physisch kontaktiert, wobei der erste Source/Drain-Kontakt eine Breite und eine Länge aufweist, die größer als die Breite ist, wobei die Länge entlang einer zweiten Richtung gemessen wird, wobei die zweite Richtung parallel zu der Hauptoberfläche des Halbleitersubstrats ist, wobei die zweite Richtung senkrecht zu der ersten Richtung steht.
  5. Verfahren nach Anspruch 4, ferner aufweisend: Bilden einer zweiten ILD-Schicht (110) über der ersten ILD-Schicht (74) und dem ersten Source/Drain-Kontakt (100); und Bilden eines zweiten Source/Drain-Kontakts (100), der sich durch die zweite ILD-Schicht (110) erstreckt, wobei der zweite Source/Drain-Kontakt den ersten Source/Drain-Kontakt physisch kontaktiert.
  6. Verfahren nach Anspruch 4 oder 5, ferner aufweisend: Bilden eines ersten Gateabstandhalters, der an das Metallgate (80) angrenzt; und Bilden eines zweiten Gateabstandhalters, der an ein zweites Metallgate (80) über der ersten Finne angrenzt, wobei die erste Dummyregion zwischen dem zweiten Metallgate und dem ersten Metallgate angeordnet ist und wobei keine leitfähigen Merkmale in Abschnitten der CESL und der ersten ILD-Schicht (74) über der ersten Finne (52) und zwischen dem ersten Gateabstandhalter und dem zweiten Gateabstandhalter angeordnet sind.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Strukturieren der Kontaktöffnung in der ILD-Schicht (74) unter Verwendung der Schnittmaske (92) als Ätzmaske umfasst: Bilden einer Leitungsmaske (96) über der Schnittmaske, wobei die Leitungsmaske eine erste Schlitzöffnung (98) umfasst, die erste Schlitzöffnung über der Source/Drain-Region (70) angeordnet ist und die erste Schlitzöffnung den ersten Schnittabschnitt und den zweiten Schnittabschnitt der Schnittmaske freilegt; und Ätzen der Kontaktöffnung in Abschnitten der ILD-Schicht (74), die durch die erste Schlitzöffnung (98) freigelegt ist und durch den ersten Schnittabschnitt und den zweiten Schnittabschnitt der Schnittmaske (92) nicht bedeckt ist.
  8. Verfahren nach Anspruch 7, wobei die Leitungsmaske (96) eine zweite Schlitzöffnung (98) umfasst, wobei die zweite Schlitzöffnung über der ersten Dummyregion angeordnet ist und die zweite Schlitzöffnung den ersten Zuschnittsabschnitt der Schnittmaske (92) freilegt, und ferner umfassend: Ätzen von Abschnitten der ILD-Schicht (74), die durch die zweite Schlitzöffnung (98) freigelegt ist und nicht durch den ersten Zuschnittsabschnitt der Schnittmaske (92) bedeckt ist.
  9. Verfahren nach Anspruch 7 oder 8, wobei der erste Zuschnittsabschnitt der Schnittmaske (92) eine erste Breite aufweist, die zweite Schlitzöffnung (98) eine zweite Breite aufweist, und die erste Breite größer als die zweite Breite ist.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schnittmaske (92) einen zweiten Zuschnittsabschnitt aufweist, der zweite Zuschnittsabschnitt den ersten Schnittabschnitt mit dem zweiten Schnittabschnitt verbindet und der zweite Zuschnittsabschnitt über einer zweiten Dummyregion der ersten Finne (52) angeordnet ist, wobei Abschnitte der ILD-Schicht (74) nach dem Strukturieren unter dem zweiten Zuschnittsabschnitt über der zweiten Dummyregion verbleiben.
  11. Verfahren nach Anspruch 10, wobei der erste Schnittabschnitt von dem zweiten Schnittabschnitt durch einen ersten Abstand getrennt ist, der erste Zuschnittsabschnitt von dem zweiten Zuschnittsabschnitt durch einen zweiten Abstand getrennt ist und der zweite Abstand größer als der erste Abstand ist.
  12. Verfahren nach Anspruch 11, wobei der erste Abstand in einem Bereich von 30 nm bis 42 nm und der zweite Abstand in einem Bereich von 50 nm bis 100 nm liegt.
  13. Verfahren umfassend: Bilden von Finnen (52), die sich von einem Halbleitersubstrat erstrecken; Abscheiden einer Zwischenschichtdielektrikumschicht, ILD-Schicht (74), auf den Finnen (52); Bilden von Maskierungsschichten (90) auf der ILD-Schicht (74); Bilden einer Schnittmaske (92) auf den Maskierungsschichten (90), wobei die Schnittmaske ein erstes Dielektrikum aufweist und die Schnittmaske erste Öffnungen aufweist, die die Maskierungsschichten freilegen, wobei jede der ersten Öffnungen auf allen Seiten durch das erste Dielektrikum umgeben wird; Bilden einer Leitungsmaske (96) an der Schnittmaske (92) und in den ersten Öffnungen, wobei die Leitungsmaske Schlitzöffnungen (98) aufweist und die Schlitzöffnungen Abschnitte der Schnittmaske und Abschnitte der Maskierungsschichten (90) freilegen, wobei die Schlitzöffnungen Streifen sind, die sich senkrecht zu den Finnen (52) erstrecken; Strukturieren der Maskierungsschichten (90) durch Ätzen der Abschnitte der Maskierungsschichten, die durch die ersten Öffnungen und die Schlitzöffnungen (98) freigelegt werden; und Ätzen von Kontaktöffnungen in der ILD-Schicht (74) unter Verwendung der strukturierten Maskierungsschichten (90) als eine Ätzmaske.
  14. Verfahren nach Anspruch 13, wobei das erste Dielektrikum Siliziumnitrid ist, und wobei die Maskierungsschichten (90) eine Titannitridschicht und eine Siliziumoxidschicht enthalten, wobei die Titannitridschicht zwischen der ILD-Schicht (74) und der Siliziumoxidschicht angeordnet ist.
  15. Verfahren nach Anspruch 13 oder 14, wobei das Strukturieren der Maskierungsschichten (90) umfasst: Ätzen der Siliziumoxidschicht mit einem Trockenätzprozess, wobei der Trockenätzprozess die Siliziumoxidschicht mit einer höheren Rate ätzt als die Schnittmaske (92); und Ätzen der Titannitridschicht mit einem Nassätzprozess, wobei der Nassätzprozess die Titannitridschicht mit einer höheren Rate ätzt als die Schnittmaske (92).
  16. Verfahren nach Anspruch 15, wobei der Trockenätzprozess mit einem Ätzmittel ausgeführt wird, das CF4, CH2F2 oder CHF3 enthält.
  17. Verfahren nach Anspruch 15 oder 16, wobei der Nassätzprozess mit einem Ätzmittel ausgeführt wird, das verdünnte Flusssäure, entionisiertes Wasser oder eine Kombination aus entionisiertem Wasser, Ammoniakwasser und wässrigem H2O2 enthält.
  18. Verfahren nach Anspruch 13 bis 17, wobei eine der ersten Öffnungen eine erste Mitte aufweist, wobei eine der Schlitzöffnungen (98) eine zweite Mitte aufweist, und wobei die erste Mitte mit der zweiten Mitte fluchtet.
  19. Verfahren nach einem der Ansprüche 13 bis 18, wobei ein erster Teilsatz der ersten Öffnungen auf vier Seiten durch gerade Segmente des ersten Dielektrikums umgeben wird.
  20. Verfahren nach Anspruch 19, wobei ein zweiter Teilsatz der ersten Öffnungen auf mehr als vier Seiten durch gerade Segmente des ersten Dielektrikums umgeben wird.
DE102020115255.8A 2020-05-27 2020-06-09 Verfahren zum Herstellen einer Halbleitervorrichtung Active DE102020115255B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/884,972 2020-05-27
US16/884,972 US11289383B2 (en) 2020-05-27 2020-05-27 Semiconductor device and method

Publications (2)

Publication Number Publication Date
DE102020115255A1 DE102020115255A1 (de) 2021-12-02
DE102020115255B4 true DE102020115255B4 (de) 2022-06-23

Family

ID=77524542

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020115255.8A Active DE102020115255B4 (de) 2020-05-27 2020-06-09 Verfahren zum Herstellen einer Halbleitervorrichtung

Country Status (5)

Country Link
US (3) US11289383B2 (de)
KR (1) KR102425698B1 (de)
CN (1) CN113363155B (de)
DE (1) DE102020115255B4 (de)
TW (1) TWI780714B (de)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170033101A1 (en) 2015-07-29 2017-02-02 Samsung Electronics Co., Ltd. Integrated circuit and standard cell library

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490459B2 (en) 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9722050B2 (en) * 2015-09-04 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI672815B (zh) 2015-10-14 2019-09-21 聯華電子股份有限公司 金氧半導體電晶體與形成閘極佈局圖的方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI692872B (zh) * 2016-01-05 2020-05-01 聯華電子股份有限公司 半導體元件及其形成方法
KR102413610B1 (ko) * 2016-03-02 2022-06-24 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR102472133B1 (ko) 2016-09-22 2022-11-29 삼성전자주식회사 집적회로 소자
US10164106B2 (en) * 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102585881B1 (ko) * 2018-06-04 2023-10-06 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US11107902B2 (en) 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10699960B2 (en) 2018-06-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for improving interlayer dielectric layer topography
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170033101A1 (en) 2015-07-29 2017-02-02 Samsung Electronics Co., Ltd. Integrated circuit and standard cell library

Also Published As

Publication number Publication date
US20220216111A1 (en) 2022-07-07
TW202213534A (zh) 2022-04-01
US11289383B2 (en) 2022-03-29
KR102425698B1 (ko) 2022-07-28
CN113363155B (zh) 2024-05-07
US20210375695A1 (en) 2021-12-02
US11615991B2 (en) 2023-03-28
TWI780714B (zh) 2022-10-11
CN113363155A (zh) 2021-09-07
DE102020115255A1 (de) 2021-12-02
KR20210147825A (ko) 2021-12-07
US20230230885A1 (en) 2023-07-20

Similar Documents

Publication Publication Date Title
DE102017123950A1 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102020110754A1 (de) Halbleitervorrichtung und verfahren
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102021116181A1 (de) Transistor-gatestrukturen und verfahren zum bilden derselben
DE102019121282B4 (de) Finnenfeldeffekttransistorvorrichtung und Verfahren zum Bilden derselben
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020101405B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102020122151A1 (de) Halbleitervorrichtung und verfahren
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102017127205A1 (de) Halbleiter-bauelement und verfahren
DE102021113537A1 (de) Transistor-gate-kontakte und verfahren zu deren bildung
DE102020115255B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102020116706A1 (de) Gate-struktur eines halbleiterbauelements und verfahren zum bilden desselben
DE102020102548A1 (de) Selbstausrichtende kontaktanordnung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final