DE102017110441B3 - Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand - Google Patents

Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand Download PDF

Info

Publication number
DE102017110441B3
DE102017110441B3 DE102017110441.0A DE102017110441A DE102017110441B3 DE 102017110441 B3 DE102017110441 B3 DE 102017110441B3 DE 102017110441 A DE102017110441 A DE 102017110441A DE 102017110441 B3 DE102017110441 B3 DE 102017110441B3
Authority
DE
Germany
Prior art keywords
mask layer
forming
filler
contact opening
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017110441.0A
Other languages
English (en)
Inventor
Shao-Ming Koh
Chen-Ming Lee
Fu-Kai Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102017110441B3 publication Critical patent/DE102017110441B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Ein Verfahren umfasst das Ausbilden einer ersten und einer zweiten Kontaktöffnung, um einen ersten bzw. einen zweiten Source/Drain-Bereich freizulegen, das Ausbilden einer Maskenschicht mit einem ersten und einem zweiten Abschnitt in der ersten bzw. der zweiten Kontaktöffnung, das Ausbilden eines ersten und eines zweiten Opfer-ILDs in der ersten bzw. der zweiten Kontaktöffnung, das Entfernen des ersten Opfer-ILDs von der ersten Kontaktöffnung, das Füllen eines Füllstoffs in die erste Kontaktöffnung und das Ätzen des zweiten Opfer-ILDs. Der Füllstoff schützt den ersten Abschnitt der Maskenschicht vor Ätzen. Ein ILD wird in der zweiten Kontaktöffnung und auf dem zweiten Abschnitt der Maskenschicht ausgebildet. Der Füllstoff und der erste Teil der Maskenschicht werden unter Verwendung eines Nassätzens entfernt, um die erste Kontaktöffnung freizulegen. Ein Kontaktstecker wird in der ersten Kontaktöffnung ausgebildet. ()

Description

  • HINTERGRUND
  • In integrierten Schaltungen werden Kontaktstecker zum Verbinden mit Source- und Drain-Bereichen verwendet, die durch Epitaxie ausgebildet werden können. Die Source/Drain-Kontaktstecker sind üblicherweise mit Source/Drain-Silizidbereichen verbunden. Das Ausbilden der Source/Drain-Silizidbereiche umfasst das Ausbilden von Kontaktöffnungen durch Ätzen von dielektrischen Schichten, die die Source/Drain-Bereiche bedecken, wobei die geätzten dielektrischen Schichten eine Siliziumnitridschicht und eine Oxidschicht über der Siliziumnitridschicht umfassen können. Die Source/Drain-Bereiche sind somit gegenüber den Kontaktöffnungen freigelegt. Eine zusätzliche Siliziumnitridschicht wird konform so ausgebildet, dass sie die Seitenwände und die Böden der Kontaktöffnungen bedeckt. Ein zweiter Ätzschritt wird dann durchgeführt, um die unteren Abschnitte der Siliziumnitridschicht zu entfernen, um die Epitaxie-Source/Drain-Bereiche freizulegen. Eine Metallschicht wird dann so ausgebildet, dass sie sich in die Kontaktöffnungen erstreckt, und ein Glühen wird durchgeführt, um die Metallschicht mit den Source/Drain-Bereichen reagieren zu lassen, was dazu führt, dass Source/Drain-Silizidbereiche ausgebildet werden. Die verbleibenden Abschnitte der Kontaktöffnungen werden dann mit Metall(en) gefüllt, um die Source/Drain-Kontaktstecker auszubilden.
  • In herkömmlichen Verfahren zum Ausbilden der Kontaktöffnungen führt das Ätzen verschiedener dielektrischer Schichten zur Freilegung der Source/Drain-Bereiche zum Verlust von hochdotierten Epitaxie-Source/Drain-Bereichen. Insbesondere kann die Topologie der Epitaxie-Source/Drain-Bereiche verloren gehen und die Oberseiten der Epitaxie-Source/Drain-Bereiche werden flacher, was dazu führt, dass die Fläche der Source/Drain-Silizidbereiche kleiner wird. Der Verlust von hochdotierten Epitaxie-Source/Drain-Bereichen und die verringerte Kontaktfläche erhöhen den Kontaktwiderstand der Source/Drain-Bereiche. Dies wirkt sich auf Geschwindigkeit und Leistung aus.
  • Die US 2013/0 075 821 A1 und die US 2013/0 065 371 A1 beschreiben Verfahren zu Herstellung von Halbleiterschaltungen mit Dummy-Gatestrukturen und Kontaktsteckern.
  • Die Erfindung sieht ein Verfahren gemäß Anspruch 1, ein Verfahren gemäß Anspruch 9 und ein Verfahren gemäß Anspruch 13 vor. Ausgestaltungen der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert werden.
    • 1 bis 20 sind Perspektivansichten und Querschnittsansichten von Zwischenstufen beim Ausbilden eines Transistors in Übereinstimmung mit einigen Ausfiihrungsformen.
    • 21 zeigt einen Verfahrensfluss zum Ausbilden eines Transistors und Kontaktsteckern in Übereinstimmung mit einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „darunter liegend“, „unten“, „darüber liegend“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Es sind Transistoren und die Verfahren zu ihrer Herstellung in Übereinstimmung mit verschiedenen beispielhaften Ausführungsformen vorgesehen. Die Zwischenstufen des Ausbildens der Transistoren sind in Übereinstimmung mit einigen Ausführungsformen gezeigt. Es werden einige Varianten einiger Ausführungsformen beschrieben. In den verschiedenen Ansichten und beispielhaften Ausführungsformen werden gleiche Bezugszeichen zum Bezeichnen gleicher Elemente verwendet. In den gezeigten beispielhaften Ausführungsformen wird das Ausbilden von Fin-Feldeffekttransistoren (FinFETs) als Beispiel verwendet, um das Konzept der vorliegenden Offenbarung zu erläutern. Planare Transistoren können jedoch auch das Konzept der vorliegenden Offenbarung verwenden.
  • Die 1 bis 20 zeigen die Schnittansichten und Perspektivansichten von Zwischenstufen beim Ausbilden von FinFETs in Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung. Die in den 1 bis 20 gezeigten Schritte werden auch schematisch in dem Verfahrensfluss 200 in 21 wiedergegeben.
  • 1 zeigt eine Perspektivansicht einer Anfangsstruktur. Die Anfangsstruktur umfasst einen Wafer 10, der weiter ein Substrat 20 umfasst. Das Substrat 20 kann ein Halbleitersubstrat sein, das ein Siliziumsubstrat, ein Silizium-Germanium-Substrat oder ein Substrat sein kann, das aus anderen Halbleitermaterialien ausgebildet ist. Das Substrat 20 kann mit einer P-Verunreinigung oder einer N-Verunreinigung dotiert sein. Isolationsbereiche 22 wie beispielsweise flache Grabenisolationsregionen (STI) können so ausgebildet sein, dass sie sich von einer oberen Fläche des Substrats 20 in das Substrat 20 erstrecken. Die Abschnitte des Substrats 20 zwischen benachbarten STI-Bereichen 22 werden als Halbleiterstreifen 24 bezeichnet. Die oberen Flächen der Halbleiterstreifen 24 und die oberen Flächen der STI-Bereiche 22 können in Übereinstimmung mit einigen beispielhaften Ausführungsformen im Wesentlichen plan zueinander sein.
  • Die STI-Bereiche 22 können ein Trennoxid (nicht gezeigt) umfassen, das ein thermisches Oxid sein kann, das durch eine thermische Oxidation einer Oberflächenschicht des Substrats 20 ausgebildet wird. Das Trennoxid kann auch eine abgeschiedene Siliziumoxidschicht sein, die unter Verwendung von beispielsweise Atomlagenabscheidung (ALD), CVD in hochdichtem Plasma (HDPCVD) oder chemischer Dampfabscheidung (CVD) ausgebildet wird. Die STI-Bereiche 22 umfassen auch ein dielektrisches Material über dem Trennoxid, wobei das dielektrische Material unter Verwendung von fließfähiger chemischer Dampfabscheidung (FCVD), Rotationsbeschichtung oder dergleichen ausgebildet werden kann.
  • Mit Bezugnahme auf 2 werden die STI-Bereiche 22 vertieft, so dass die oberen Abschnitte der Halbleiterstreifen 24 höher als die oberen Flächen der STI-Bereiche 22 herausragen, um herausragende Rippen 24' auszubilden. Das Ätzen kann unter Verwendung eines Trockenätzverfahrens durchgeführt werden, wobei HF3 und NH3 als Ätzgase verwendet werden. Während des Ätzverfahrens kann Plasma erzeugt werden. Argon kann auch verwendet werden. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung wird das Vertiefen der STI-Bereiche 22 unter Verwendung eines Nassätzverfahrens durchgeführt. Die Ätzchemikalie kann beispielsweise HF enthalten.
  • Mit Bezugnahme auf 3 werden Dummy-Gatestapel 30 auf den oberen Flächen und den Seitenwänden der (vorstehenden) Rippen 24' ausgebildet. Der entsprechende Schritt ist als Schritt 202 in dem in 21 gezeigten Verfahren gezeigt. Die Dummy-Gatestapel 30 sind parallel zueinander, wobei die Mehrzahl der Dummy-Gatestapel die gleiche(n) Halbleiterrippe(n) 24' kreuzen. Die Dummy-Gatestapel 30 können Dummy-Gatedielektrika 32 und Dummy-Gateelektroden 34 über den Dummy-Gatedielektrika 32 umfassen. Die Dummy-Gatedielektrika 32 können aus Siliziumoxid und die Dummy-Gateelektroden 34 beispielsweise aus Polysilizium ausgebildet sein. Jeder der Dummy-Gatestapel 30 kann auch eine (oder eine Vielzahl von) Hartmaskenschichten 36 über den entsprechenden Dummy-Gateelektroden 34 umfassen. Die Hartmaskenschichten 36 können aus Siliziumnitrid, Siliziumcarbornitrid oder dergleichen ausgebildet sein. Die Dummy-Gatestapel 30 können eine einzige oder mehrere vorstehende Rippen 24' und/oder STI-Bereiche 22 kreuzen. Die Dummy-Gatestapel 30 haben auch Längsrichtungen senkrecht zu den Längsrichtungen der vorstehenden Rippen 24'.
  • Als nächstes werden Gate-Abstandshalter 38 auf den Seitenwänden der Dummy-Gatestapel 30 ausgebildet. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung werden die Gate-Abstandshalter 38 aus einem dielektrischen Material wie Silizium-Kohlenstoff-Oxynitrid (SiCN), Siliziumnitrid oder dergleichen ausgebildet und können eine einschichtige Struktur oder eine mehrschichtige Struktur aufweisen, die eine Vielzahl von dielektrischen Schichten aufweist.
  • In Übereinstimmung mit einigen Ausführungsformen umfasst jeder der Gate-Abstandshalter 38 eine low-k-dielektrische Schicht 38A und eine nicht-low-k-dielektrische Schicht 38B, wobei beide Schichten 38A und 38B durch einen deckenden Abscheidungsschritt gefolgt von einem anisotropen Ätzschritt ausgebildet werden. Die low-k-dielektrische Schicht 38A kann aus einem low-k-dielektrischen Material mit einer Dielektrizitätskonstante (k-Wert) ausgebildet werden, die niedriger als etwa 3,0 oder niedriger als etwa 2,5 ist, und kann aus SiON oder SiOCN ausgebildet werden, wobei darin Poren ausgebildet werden, damit sie den gewünschten Low-k-Wert haben. Die nicht-low-k-dielektrische Schicht 38B kann beispielsweise aus Siliziumnitrid ausgebildet sein.
  • Ein Ätzschritt (nachfolgend als Source/Drain-Vertiefen bezeichnet) wird dann durchgeführt, um die Abschnitte der vorstehenden Rippen 24' zu ätzen, die nicht von den Dummy-Gatestapeln 30 und den Gate-Abstandshaltern 38 bedeckt sind, was zu der in 4 gezeigten Struktur führt. Das Vertiefen kann anisotrop sein, so dass die Abschnitte der Rippen 24' direkt unter den Dummy-Gatestapeln 30 und den Gate-Abstandshaltern 38 geschützt sind und nicht geätzt werden. Aussparungen 40 werden somit zwischen den STI-Bereichen 22 ausgebildet. Die Aussparungen 40 befinden sich auf gegenüberliegenden Seiten des Dummy-Gatestapels 30. Die unteren Flächen der resultierenden Vertiefungen 40 können gemäß einigen Ausführungsformen niedriger als die oberen Flächen 22A der STI-Bereiche 22 sein.
  • Als nächstes werden Epitaxiebereiche (Source/Drain-Bereiche) durch selektives Aufwachsen eines Halbleitermaterials in den Vertiefungen 40 ausgebildet, was zu der Struktur in 5 führt. Der entsprechende Schritt ist als Schritt 204 in dem in 21 gezeigten Verfahren gezeigt. In Übereinstimmung mit einigen beispielhaften Ausführungsbeispielen umfassen Epitaxiebereiche 42 Silizium-Germanium oder Silizium. Abhängig davon, ob der resultierende FinFET ein P-FinFET oder ein N-FinFET ist, kann eine P- oder eine N-Verunreinigung in situ im Verlauf der Epitaxie dotiert werden. Wenn der resultierende FinFET beispielsweise ein P-FinFET ist, kann Silizium-Germanium-Bor (SiGeB) gezüchtet werden. Umgekehrt kann, wenn der resultierende FinFET ein N-FinFET ist, Silizium-Phosphor (SiP) oder Silizium-Kohlenstoff-Phosphor (SiCP) gezüchtet werden. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung sind die Epitaxiebereiche 42 aus einem III-V-Verbindungshalbleiter wie GaAs, InP, GaN, InGaAs, InAlAs, GaSb, Al, AlAs, AlP, GaP, Kombinationen davon oder Mehrfachschichten davon ausgebildet. Nachdem die Epitaxiebereiche 42 die Vertiefungen 40 vollständig gefüllt haben, führt das weitere Wachstum der Epitaxiebereiche 42 dazu, dass die Epitaxiebereiche 42 sich horizontal ausdehnen und Facetten gebildet werden können.
  • Die Epitaxiebereiche 42 umfassen untere Abschnitte, die in den STI-Bereichen 22 ausgebildet sind, und obere Abschnitte, die über den oberen Flächen der STI-Bereiche 22 ausgebildet sind. Die unteren Abschnitte, deren Seitenwände durch die Profile der jeweiligen Vertiefungen geformt sind, und können (im Wesentlichen) gerade Kanten aufweisen, die auch im Wesentlichen vertikale Kanten sein können, die im Wesentlichen senkrecht zu den oberen und unteren Hauptflächen des Substrats 20 sind.
  • Die 6A, 6B und 6C zeigen die Querschnittsansichten der in 5 gezeigten Struktur. Die in 6A gezeigte Querschnittsansicht wird aus der vertikalen Ebene erhalten, die die Linie A-A in 5 enthält. In den 6A, 6B, 6C und den nachfolgenden Figuren können die Figuren-Bezugszeichen die Buchstaben „A“, „B“ oder „C“ enthalten. Der Buchstabe „A“ zeigt an, dass die jeweilige Figur eine Querschnittsansicht ist, die von der gleichen vertikale Ebene erhalten wird, die die Linie A-A in 5 enthält. Die Buchstaben „B“ und „C“ zeigen an, dass die jeweilige Figur aus einer vertikalen Ebene erhalten wird, die den Source/Drain-Bereich ähnlich der Ebene kreuzt, die die Linie B/C-B/C in 6A enthält. Zudem können der Vorrichtungsbereich einer Figur, deren Figur-Bezugszeichen den Buchstaben „B“ enthält, und der Vorrichtungsbereich einer Figur, deren Figur-Bezugszeichen den Buchstaben „C“ enthält, verschiedene Vorrichtungsbereiche sein. Beispielsweise kann der Vorrichtungsbereich in den Figuren, dessen Figuren-Bezugszeichen den Buchstaben „B“ enthält, ein N-FinFET-Bereich sein und der Vorrichtungsbereich in den Figuren, dessen Figuren-Bezugszeichen den Buchstaben „C“ enthält, ein P-FinFET-Bereich sein oder umgekehrt. Die Figuren mit den gleichen Ziffern und unterschiedlichen Buchstaben zeigen an, dass sie die verschiedenen Ansichten eines gleichen Verfahrensschrittes sind.
  • Wie in den 6A, 6B und 6C gezeigt ist, sind die Dummy-Gatestapel 30 voneinander durch Lücken/Öffnungen 43 getrennt, die auch in 5 gezeigt sind. Die 6B und 6C zeigen die Querschnittsansichten, die aus der Ebene erhalten wurden, die B/C-B/C in 6A enthält, außer dass 6B die vereinigten Epitaxiebereiche 42 und 6C einen getrennten Epitaxiebereich 42 zeigt. 6B zeigt, dass die Lücke (Luftspalt) 41 zwischen benachbarten Epitaxiebereichen 42 ausgebildet sein kann, die miteinander in Kontakt stehen.
  • Mit Bezugnahme auf die 7A, 7B und 7C wird eine Epitaxie-Maskenschicht 44, die so bezeichnet wird, da sie die Epitaxiebereiche 42 maskiert, als eine deckende dielektrische Schicht ausgebildet. Der entsprechende Schritt ist als Schritt 206 in dem in 21 gezeigten Verfahren gezeigt. Die Epitaxie-Maskenschicht 44 wird verwendet, um die darunter liegenden Epitaxiebereiche 42 zu schützen, und die Epitaxie-Maskenschicht 44 und die Epitaxiebereiche 42 haben eine hohe Ätzselektivität, wenn die Epitaxie-Maskenschicht 44 im nachfolgenden Verfahren entfernt wird, damit Schäden an den Epitaxiebereichen 42 minimiert werden. Die Epitaxie-Maskenschicht 44 ist konform und kann unter Verwendung von Atomlagenabscheidung (ALD) oder chemischer Dampfabscheidung (CVD) ausgebildet werden. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird die Epitaxie-Maskenschicht 44 aus homogenem Aluminiumoxid (Al2O3) ausgebildet. In Übereinstimmung mit alternativen Ausführungsformen umfasst die Epitaxie-Maskenschicht 44 Teilschichten, die aus anderen Materialien ausgebildet sind. Beispielsweise kann die Epitaxie-Maskenschicht 44 eine Teilschicht 44A, die aus Aluminiumoxid ausgebildet ist, und eine Teilschicht 44B über der Teilschicht 44A umfassen, die aus Siliziumnitrid ausgebildet ist. Wie in den 7B und 7C gezeigt ist, wird die Epitaxie-Maskenschicht 44 konform auf den Halbleiterrippen 24' ausgebildet. Aufgrund der wellenförmigen Natur der Epitaxie (EPI) auf mehreren Rippen kann die Schicht 44 in der EPI-Senke dicker sein. Dies verringert die Größe des Opfer-Zwischenschicht-Dielektrikums (Schicht 49, 8B) in der Senke, wodurch der Bedarf nach längeren/stärkeren Ätzzeiten für die nachfolgende Entfernung der Schicht 49 minimiert wird.
  • Als nächstes wird ein Opfer-Zwischenschicht-Dielektrikum (ILD) 49 ausgebildet, um die Lücken 43 zu füllen, und das Opfer-ILD 49 ist in den 8A, 8B und 8C gezeigt. Der entsprechende Schritt ist als Schritt 208 in dem in 21 gezeigten Verfahren gezeigt. Das Opfer-ILD 49 kann aus einem dielektrischen Material oder irgendeinem anderen Materialtyp ausgebildet werden, vorausgesetzt es kann in nachfolgenden Schritten selektiv entfernt werden, ohne die Gate-Abstandshalter 38 und die Epitaxie-Maskenschicht 44 zu beschädigen. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird das Opfer-ILD 49 aus Siliziumoxid ausgebildet. Als nächstes wird eine Planarisierung unter Verwendung von chemisch-mechanischem Polieren (CMP) oder mechanischem Schleifen durchgeführt, so dass die horizontalen Abschnitte der Epitaxie-Maskenschicht 44 freigelegt und dann entfernt werden. In einem nachfolgenden Schritt werden die Dummy-Gatestapel 30 entfernt, gefolgt von dem Ausbilden von Ersatz-Gatestapeln 52, die Ersatz-Gatedielektrika 45 und Metallgateelektroden 48 umfassen. Die resultierende Struktur ist in den 8A, 8B und 8C gezeigt. Die entsprechenden Schritte sind auch als Schritt 208 in dem in 21 gezeigten Verfahren gezeigt.
  • Das Ausbilden der Ersatz-Gatestapel 52 wird kurz wie folgt beschrieben. Zuerst werden die Schichten 45, 46 und 47 als Deckschichten ausgebildet. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst jede der Gatedielektrikumsschichten 45 eine Grenzflächenschicht (IL) als ihren unteren Teil. Die IL wird auf den freiliegenden Oberflächen der vorstehenden Rippen 24' ausgebildet und kann eine Oxidschicht wie eine Siliziumoxidschicht umfassen, die durch thermische Oxidation der vorstehenden Rippen 24', ein chemisches Oxidationsverfahren oder ein Abscheidungsverfahren ausgebildet wird. Jedes der Gatedielektrika 45 kann auch eine high-k-dielektrische Schicht umfassen, die über der IL ausgebildet wird. Die high-k-dielektrische Schicht wird aus einem high-k-dielektrischen Material wie Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirkoniumoxid oder dergleichen ausgebildet. Die Dielektrizitätskonstante (k-Wert) des high-k-dielektrischen Materials ist höher als 3,9 und kann höher als etwa 7,0 sein.
  • Die Metallgateelektroden 48 umfassen gestapelte Schichten 46, die durch Abscheidung ausgebildet werden. Die Teilschichten in den gestapelten Schichten 46 sind nicht separat gezeigt, während in Wirklichkeit die Teilschichten voneinander unterscheidbar sind. Die Abscheidung kann unter Verwendung eines konformen Abscheidungsverfahrens wie ALD oder CVD so durchgeführt werden, dass die Dicke der vertikalen Abschnitte und die Dicke der horizontalen Abschnitte der gestapelten Metallschichten 46 (und jeder der Teilschichten) im Wesentlichen gleich sind. Die 8B und 8C zeigen Querschnittsansichten, die von den Source/Drain-Bereichen 42 erhalten werden.
  • Die gestapelten Metallschichten 46 können eine Diffusionssperrschicht und eine (oder mehrere) Austrittsarbeitsschichten über der Diffusionssperrschicht aufweisen. Die Diffusionssperrschicht kann aus Titannitrid (TiN) ausgebildet sein, das mit Silizium dotiert sein kann. Die Austrittsarbeitsschicht bestimmt die Austrittsarbeit des Metallgates und umfasst mindestens eine Schicht oder mehrere Schichten aus unterschiedlichen Materialien. Das spezifische Material der Austrittsarbeitsschicht wird danach ausgewählt, ob der jeweilige FinFET ein n-FinFET oder ein p-FinFET ist. Wenn der FinFET beispielsweise ein n-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht und eine Titan-Aluminium- (TiAl-) Schicht über der TaN-Schicht umfassen. Wenn der FinFET beispielsweise ein p-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht, eine TiN-Schicht über der TaN-Schicht und eine TiAl- Schicht über der TiN-Schicht umfassen. Nach dem Abscheiden der Austrittsarbeitsschicht(en) wird eine Sperrschicht ausgebildet, die eine weitere TiN-Schicht sein kann.
  • Ein metallischer Füllstoff 47 kann beispielsweise aus Wolfram oder Kobalt ausgebildet werden. Nach dem Ausbilden der Schichten 45, 46 und 47, die als Deckschichten abgeschieden werden, wird ein Planarisierungsschritt wie CMP oder mechanisches Schleifen durchgeführt, damit die Abschnitte der Schichten 45, 46 und 47 über dem Opfer-ILD 49 entfernt werden. Als Ergebnis werden Metallgateelektroden 48 ausgebildet, die die verbleibenden Abschnitte der Schichten 46 und 47 umfassen, und Gatedielektrika 45 liegen unter den jeweiligen Metallgateelektroden 48. Der verbleibende Teil der Schichten 45, 46 und 47 wird nachfolgend als Ersatz-Gatestapel 52 bezeichnet.
  • Als nächstes werden die Ersatz-Gatestapel 52 vertieft, wodurch Vertiefungen 56 ausgebildet werden, wie in 8A gezeigt ist. Der entsprechende Schritt ist auch als Schritt 208 in dem in 21 gezeigten Verfahren gezeigt. In einem nachfolgenden Schritt werden die Vertiefungen 56 mit Hartmasken 58 gefüllt und die resultierende Struktur ist in den 9A, 9B und 9C gezeigt. Der entsprechende Schritt ist als Schritt 210 in dem in 21 gezeigten Verfahren gezeigt. In Übereinstimmung mit einigen Ausführungsformen werden die Hartmasken 58 aus einem Material ausgebildet, das aus der gleichen Gruppe von Kandidatenmaterialien zum Ausbilden der Epitaxie-Maskenschicht 44 ausgewählt ist, die Aluminiumoxid umfassen kann.
  • In nachfolgenden Schritten werden Ersatz-Gatestapel 52, die die Form von langen Streifen in der Draufsicht der 9A haben, in kürzere Stücke (nicht gezeigt) geteilt, die jeweils zum Ausbilden eines FinFETs verwendet werden können. Die Vertiefungen, die von den zerteilten (entfernten) Teilen der Ersatz-Gates 52 übrig bleiben, werden mit einem dielektrischen Material (nicht gezeigt und nicht in der dargestellten Ebene vorhanden) gefüllt, das dann planarisiert wird, um das überschüssige dielektrische Material zu entfernen. Die resultierende Struktur ist in den 10A, 10B und 10C gezeigt, wobei die Hartmasken 58 durch die Planarisierung verdünnt worden sind.
  • Das Opfer-ILD 49 wird dann beispielsweise in einem Nassätzschritt entfernt. Öffnungen 60A, 60B und 60C werden somit freigelegt, wie in den 11A, 11B und 11C gezeigt ist. Der entsprechende Schritt ist als Schritt 212 in dem in 21 gezeigten Verfahren gezeigt. Als Ergebnis werden die Epitaxie-Maskenschichten 44 freigelegt.
  • Die 12A/12B/12C bis 16A/16B/16C zeigen das Füllen der Öffnung 60B mit einem Füllstoff und der Öffnungen 60A und 60C mit einem ILD. Der entsprechende Schritt ist als Schritt 214 in dem in 21 gezeigten Verfahren gezeigt. Mit Bezugnahme auf die 12A, 12B und 12C wird der Füllstoff 62 eingefüllt und ein Planarisierungsschritt wie CMP oder mechanisches Schleifen durchgeführt, um die obere Fläche des Füllstoffs 62 zu planarisieren. Die obere Fläche des resultierenden Füllstoffs 62 ist höher als die oberen Flächen der Hartmasken 58. Wie in den 12B und 12C gezeigt ist, sind in dem Füllstoff 62 die Epitaxie-Source/Drain-Bereiche eingebettet. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird der Füllstoff 62 aus amorphem Silizium oder Polysilizium ausgebildet.
  • In den 13A, 13B und 13C sind eine Polsteroxidschicht 64 und eine Hartmaske 66 auf dem Füllstoff 62 ausgebildet. Die Polsteroxidschicht 64 kann aus Siliziumoxid und die Hartmaske 66 aus Siliziumnitrid bestehen.
  • In 14A wird der Photoresist 68 aufgebracht und strukturiert, wobei die Abschnitte des Füllstoffs 62 in der Öffnung 60B (11A) bedeckt und die Abschnitte des Füllstoffs 62 in den Öffnungen 60A und 60C (13A) nicht bedeckt sind. Die Hartmaske 66 wird dann strukturiert, gefolgt von dem Entfernen des Photoresists 68. Die strukturierte Hartmaske 66 wird verwendet, um den Füllstoff 62 so zu ätzen, dass die Öffnungen 60A und 60C wieder freigelegt werden, wie in 14A gezeigt ist. Der entsprechende Schritt ist als Schritt 216 in dem in 21 gezeigten Verfahren gezeigt. Das Ätzen kann unter Verwendung von kohlenstoff- und fluorhaltigen Gasen wie CF4, CH2F2, CHF3 oder dergleichen durchgeführt werden. So werden die Epitaxie-Maskenschichten 44 in den Öffnungen 60A und 60C freigelegt. Wie in den 14B und 14C gezeigt ist, müssen die Source/Drain-Bereiche 42 nach dem Ätzen noch bedeckt werden.
  • Das ILD 70 wird dann ausgebildet, um die Kontaktöffnungen 60A und 60C zu füllen, wie in den 15A, 15B und 15C gezeigt ist. Der entsprechende Schritt ist als Schritt 218 in dem in 21 gezeigten Verfahren gezeigt. Das ILD 70 kann ein dielektrisches Material umfassen, das unter Verwendung von beispielsweise FCVD, Rotationsbeschichtung, CVD oder einem anderen Abscheidungsverfahren ausgebildet wird. Das ILD 70 kann auch aus Tetraethylorthosilikat- (TEOS-) Oxid, plasmaverstärktem CVD-(PECVD-) Oxid (SiO2), Phosphorsilikatglas (PSG), Borsilikatglas (BSG), Bor-dotiertem Phosphorsilikatglas (BPSG) oder dergleichen bestehen. Das ILD 70 bedeckt vollständig die verbleibende Hartmaske 66 und das Polsteroxid 64. Als nächstes wird ein Planarisierungsschritt wie CMP oder mechanisches Schleifen durchgeführt, um einige Abschnitte der Hartmaske 66, des Polsteroxids 64 und des Füllstoffs 62 zu entfernen, und die resultierende Struktur ist in den 16A, 16B und 16C gezeigt. Wie in der 16A gezeigt ist, füllt der Füllstoff 62 die Öffnung 60B, während das ILD 70 die Öffnungen 60A und 60C füllt.
  • Der Füllstoff 62 wird dann beispielsweise durch Nassätzen unter Verwendung von Tetra-Methylammoniumhydroxid (TMAH) oder Trockenätzen unter Verwendung eines kohlenstoff- und fluorhaltigen Gases wie CF4 entfernt. Der entsprechende Schritt ist als Schritt 220 in dem in 21 gezeigten Verfahren gezeigt. Als nächstes wird die Epitaxiemaske 44, die gegenüber der Öffnung 60B ausgesetzt ist, entfernt und die resultierende Struktur ist in den 17A, 17B und 17C gezeigt. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird das Entfernen durch einen Ätzschritt durchgeführt, wobei die Ätzselektivität der Epitaxie-Maskenschicht 44 (das Verhältnis der Ätzrate der Epitaxiemaske 44 zur Ätzrate der Epitaxiebereiche 42) hoch ist, beispielsweise höher als etwa 20 oder höher als etwa 50. Wenn die Epitaxie-Maskenschicht 44 eine Siliziumnitridschicht und eine Aluminiumoxidschicht umfasst, wird die Siliziumnitridschicht zuerst entfernt, gefolgt von dem Entfernen der Aluminiumoxidschicht, wobei die Ätzselektivität des Aluminiumoxids relativ zu den Epitaxiebereichen 42 hoch ist. Vorteilhafterweise bietet Aluminiumoxid eine sehr hohe Nassätz-Selektivität für das ILD 70 (wie beispielsweise SiO2), die Epitaxiebereiche 42 (und den Füllstoff 62), so dass, wenn die Epitaxie-Maskenschicht 44 entfernt wird, das ILD 70 und die Epitaxiebereiche 42 nicht beschädigt werden. Daher haben die Epitaxiebereiche 42 immer noch eine hohe Topologie und werden nicht aufgrund des Ätzens der Epitaxie-Maskenschicht 44 geglättet. In Übereinstimmung mit einigen beispielhaften Ausführungsformen, in denen die Epitaxie-Maskenschicht 44 aus Aluminiumoxid ausgebildet ist, wird sie unter Verwendung einer Mischung von Butoxyethanol, Dydroxylamin, Diethylentriaminpentaessigsäure (DTPA) und Wasser geätzt. Wie in den 17A, 17B und 17C gezeigt ist, sind die Epitaxiebereiche 42 nach dem Ätzen freigelegt. Die Abschnitte der Epitaxie-Maskenschicht 44 direkt über den gezeigten Source/Drain-Bereichen 42 ganz links und ganz rechts werden ebenfalls geätzt, um Vertiefungen 71 auszubilden. Da die freigelegten oberen Flächen der Epitaxie-Maskenschicht 44 jedoch schmal sind, sind die Vertiefungen 71 flach.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung werden die Epitaxiebereiche 42 weiter durch die Öffnung 60B mit einer p- oder einer n-Verunreinigung so implantiert, dass der jeweilige Source/Drain-Bereich 42 eine erhöhte Dotierungskonzentration aufweist. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung wird der Implantationsschritt weggelassen.
  • Mit Bezugnahme auf 18A werden in Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung Kontaktabstandshalter 72 in der Öffnung 60B ausgebildet. Die Kontaktabstandshalter 72 können aus einem high-k-dielektrischen Material (mit einem k-Wert nahe 3,9) ausgebildet werden, so dass sie eine gute Isolationsfähigkeit aufweisen. Die Kandidatenmaterialien umfassen AlxOy, HfO2, SiN und SiOCN (ohne Poren oder im Wesentlichen ohne Poren). Das Ausbilden der Kontaktabstandshalter 72 kann ein konformes Abscheidungsverfahren wie CVD oder ALD umfassen, um eine Deckschicht auszubilden. Ein anisotropes Ätzen wird dann so durchgeführt, dass die horizontalen Abschnitte der Deckschicht entfernt werden. Die auf den Seitenwänden der Öffnung 60B verbleibenden vertikalen Abschnitte der Deckschicht bilden die Kontaktabstandshalter 72, die einen Ring bilden, wenn sie von der Oberseite des Wafers 10 betrachtet werden. Das gleiche Material wie zum Ausbilden der Kontaktabstandshalter 72 wird auch in die Vertiefungen 71 (17A) gefüllt, um Abstandshalter 74 auszubilden. Die 18B und 18C zeigen die freigelegten Epitaxiebereiche 42.
  • 19A zeigt das Ausbilden des Silizidbereichs 75, der Metallschicht 76, der leitfähigen Sperrschicht 78 und des Metallbereichs 80. In den gezeigten beispielhaften Ausführungsformen sind drei Epitaxie-Source/Drain-Bereiche 42 gezeigt und ein Source/Drain-Kontaktstecker ist so gezeigt, dass er mit den mittleren Epitaxie-Source/Drain-Bereichen 42 verbunden ist. Im tatsächlichen Verfahren kann es auch Source/Drain-Kontaktstecker geben, die zum Verbinden mit den linken und rechten Epitaxie-Source/Drain-Bereichen 42 ausgebildet sind. Diese Source/Drain-Kontaktstecker sind jedoch in anderen Ebenen ausgebildet, als gezeigt ist, und sind daher nicht sichtbar.
  • In Übereinstimmung mit einigen Ausführungsformen wird die Metallschicht 76 (beispielsweise eine Titanschicht) als Deckschicht abgeschieden, gefolgt von einem Nitrierungsverfahren auf dem oberen Abschnitt der Metallschicht 76, um eine Metallnitridschicht (beispielsweise 78) auszubilden. Der untere Abschnitt der Metallschicht 76 ist nicht nitriert. Als nächstes wird ein Glühen (das ein schnelles thermisches Glühen sein kann) durchgeführt, um den unteren Abschnitt der verbleibenden Metallschicht 76 mit dem oberen Abschnitt des Source/Drain-Bereichs 42 umzusetzen, um den Silizidbereich 75 auszubilden. Die Abschnitte der Metallschicht 76 auf den Seitenwänden der Kontaktabstandshalter 72 werden nicht umgesetzt. Als nächstes wird entweder die Metallnitridschicht 78 in dem Endprodukt belassen oder die zuvor ausgebildete Metallnitridschicht 78 entfernt, gefolgt von dem Abscheiden einer neuen Metallnitridschicht (wie einer weiteren Titannitridschicht, die auch mit dem Bezugszeichen 78 gekennzeichnet ist), die dünner als die entfernte Metallnitridschicht ist. Ein Metallbereich 80 wird dann beispielsweise durch Füllen mit Wolfram, Kobalt oder dergleichen ausgebildet, gefolgt von einer Planarisierung, um überschüssige Materialien zu entfernen, was einen unteren Source/Drain-Kontaktstecker 82 erzeugt. Der entsprechende Schritt ist als Schritt 222 in dem in 21 gezeigten Verfahren gezeigt. Die 19B und 19C zeigen eine weitere Querschnittsansicht des unteren Source/Drain-Kontaktsteckers 82.
  • 20 zeigt das Ausbilden einer Ätzstoppschicht 86, einer dielektrischen Schicht 88, Gate-Kontaktsteckern (Durchkontaktierungen) 90 und eines oberen Source/Drain-Kontaktsteckers (Durchkontaktierung) 92 in der Ätzstoppschicht 86 und der dielektrischen Schicht 88. Der entsprechende Schritt ist als Schritt 224 in dem in 21 gezeigten Verfahren gezeigt. Die Ätzstoppschicht 86 kann aus Siliziumkarbid, Siliziumoxynitrid, Siliziumcarbonitrid oder dergleichen ausgebildet werden und kann unter Verwendung eines Abscheidungsverfahrens wie CVD ausgebildet werden. Die dielektrische Schicht 88 kann ein Material umfassen, das aus PSG, BSG, BPSG, fluor-dotiertem Siliziumglas (FSG), TEOS-Oxid oder PECVD-Oxid (das SiO2 aufweisen kann) ausgewählt ist. Die dielektrische Schicht 88 kann unter Verwendung von Rotationsbeschichtung, FCVD oder dergleichen oder unter Verwendung eines Abscheidungsverfahrens wie PECVD oder Niederdruck-CVD (LPCVD) ausgebildet werden.
  • Die dielektrische Schicht 88 und die Ätzstoppschicht 86 werden geätzt, um Öffnungen auszubilden (die von Steckern/Durchkontaktierungen 90 und 92 belegt sind). Das Ätzen kann unter Verwendung von beispielsweise reaktivem Ionenätzen (RIE) durchgeführt werden. In einem nachfolgenden Schritt werden die Stecker/Durchkontaktierungen 92 ausgebildet. Die Gate-Kontaktstecker 90 durchdringen die Hartmasken 58, um die Gateelektroden 48 zu kontaktieren. In Übereinstimmung mit einigen Ausfiihrungsformen der vorliegenden Offenbarung umfassen die Stecker/Durchkontaktierungen 90 und 92 Sperrschichten und metallhaltige Materialien über den Sperrschichten. Das Ausbilden der Stecker/Durchkontaktierungen 90 und 92 kann das Ausbilden einer durchgehenden Sperrschicht und eines metallhaltigen Materials über der durchgehenden Sperrschicht und das Durchführen einer Planarisierung umfassen, um überschüssige Abschnitte der durchgehenden Sperrschicht und des metallhaltigen Materials zu entfernen. Die Sperrschicht kann aus einem Metallnitrid wie Titannitrid oder Tantalnitrid ausgebildet werden.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Merkmale. Die Epitaxie-Maskenschicht weist eine hohe Ätzselektivität gegenüber den Epitaxiebereichen auf und kann entfernt werden, ohne einen zu großen Verlust der Epitaxiebereiche zu bewirken. Weiter können, indem ein Opfer-Füllstoff ausgebildet wird, um einige Abschnitte der Epitaxie-Maskenschicht in manchen Source/Drain-Kontaktöffnungen zu schützen, andere Abschnitte der Epitaxie-Maskenschicht unter Verwendung von Nassätzen entfernt werden, ohne die Epitaxie-Maskenschicht zu beschädigen. Daher weisen die Epitaxie-Source/Drain-Bereiche einen minimierten Verlust beim Ausbilden der Source/Drain-Kontaktöffnungen auf und der resultierende Kontaktwiderstand wird verbessert.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden einer ersten und einer zweiten Kontaktöffnung, um einen ersten bzw. einen zweiten Source/Drain-Bereich freizulegen, das Ausbilden einer Maskenschicht mit einem ersten und einem zweiten Abschnitt in der ersten bzw. der zweiten Kontaktöffnung, das Ausbilden eines ersten und eines zweiten Opfer-ILDs in der ersten bzw. der zweiten Kontaktöffnung, das Entfernen des ersten Opfer-ILDs von der ersten Kontaktöffnung, das Füllen eines Füllstoffs in die erste Kontaktöffnung und das Ätzen des zweiten Opfer-ILDs. Der Füllstoff schützt den ersten Abschnitt der Maskenschicht vor Ätzen. Ein ILD wird in der zweiten Kontaktöffnung und auf dem zweiten Abschnitt der Maskenschicht ausgebildet. Der Füllstoff und der erste Teil der Maskenschicht werden unter Verwendung eines Nassätzens entfernt, um die erste Kontaktöffnung freizulegen. Ein Kontaktstecker wird in der ersten Kontaktöffnung ausgebildet.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden einer Maskenschicht, die sich in einen Raum zwischen einem ersten Dummy-Gatestapel und einem zweiten Dummy-Gatestapel erstreckt, und das Füllen eines Opfer-ILDs in den Raum. Das Opfer-ILD liegt über einem unteren Abschnitt der Maskenschicht. Das Verfahren umfasst ferner das Entfernen des Opfers-ILDs, um die Maskenschicht in dem Raum freizulegen, das Füllen des Raums mit einem Füllstoff, der über der Maskenschicht in dem Raum liegt, das Entfernen des Füllstoffs und der Maskenschicht, um einen Source/Drain-Bereich freizulegen und das Ausbilden eines Kontaktsteckers in den Raum.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden einer Maskenschicht, die sich in einen Raum zwischen einem ersten Dummy-Gatestapel und einem zweiten Dummy-Gatestapel erstreckt, das Füllen eines Opfer-ILDs über die Maskenschicht und in den Raum, das Entfernen des Opfer-ILDs, um die Maskenschicht in dem Raum freizulegen, und das Entfernen der Maskenschicht aus dem Raum mittels Nassätzen. Ein entfernter Abschnitt der Maskenschicht umfasst einen horizontalen Abschnitt an einem Boden des Raumes und einen vertikalen Abschnitt, der sich zu einer oberen Fläche des ersten Dummy-Gatestapels erstreckt. Ein Kontaktstecker wird in dem Raum ausgebildet.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren.

Claims (16)

  1. Verfahren, umfassend: Ausbilden einer ersten Kontaktöffnung und einer zweiten Kontaktöffnung, um einen ersten Source/Drain-Bereich und einen zweiten Source/Drain-Bereich freizulegen; Ausbilden einer Maskenschicht mit einem ersten Abschnitt und einem zweiten Abschnitt in der ersten Kontaktöffnung und der zweiten Kontaktöffnung; Ausbilden eines ersten Opfer-Zwischenschicht-Dielektrikums (ILD) und eines zweiten Opfer-ILDs in der ersten Kontaktöffnung und der zweiten Kontaktöffnung; Entfernen des ersten Opfer-ILDs von der ersten Kontaktöffnung; Füllen eines Füllstoffs in die erste Kontaktöffnung; Ätzen des zweiten Opfer-ILDs, wobei der Füllstoff den ersten Abschnitt der Maskenschicht vor Ätzen schützt; Ausbilden eines ILDs in der zweiten Kontaktöffnung und auf dem zweiten Abschnitt der Maskenschicht; Entfernen des Füllstoffs und des ersten Abschnitts der Maskenschicht unter Verwendung eines Nassätzens, um die erste Kontaktöffnung freizulegen; und Ausbilden eines Kontaktsteckers in der ersten Kontaktöffnung.
  2. Verfahren nach Anspruch 1, wobei das Ausbilden der Maskenschicht das Abscheiden einer Aluminiumoxidschicht umfasst.
  3. Verfahren nach Anspruch 2, wobei das Ausbilden der Maskenschicht weiter das Ausbilden einer Siliziumnitridschicht über der Aluminiumoxidschicht umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei der erste Abschnitt der Maskenschicht unter Verwendung einer Mischung aus Butoxyethanol, Dydroxylamin, Diethylentriaminpentaessigsäure (DTPA) und Wasser entfernt wird.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Füllstoff Silizium umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Füllen des Füllstoffs in die erste Kontaktöffnung umfasst: Füllen des Füllstoffs sowohl in die erste Kontaktöffnung als auch in die zweite Kontaktöffnung; und Entfernen des Füllstoffs von der zweiten Kontaktöffnung, um den zweiten Abschnitt der Maskenschicht freizulegen, wobei der Füllstoff in der ersten Kontaktöffnung gelassen wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, weiter umfassend: Ausbilden einer Metallgateelektrode zwischen der ersten Kontaktöffnung und der zweiten Kontaktöffnung; Vertiefen der Metallgateelektrode, um eine Vertiefung auszubilden; und Füllen der Vertiefung mit einer Hartmaske.
  8. Verfahren nach einem der vorhergehenden Ansprüche, das weiter, bevor der Kontaktstecker in der ersten Kontaktöffnung ausgebildet wurde, das Ausbilden eines dielektrischen Kontaktabstandshalters in der ersten Kontaktöffnung umfasst.
  9. Verfahren, umfassend: Ausbilden einer Maskenschicht, die sich in einen Raum zwischen einem ersten Dummy-Gatestapel und einem zweiten Dummy-Gatestapel erstreckt, wobei das Ausbilden der Maskenschicht weiter das Abscheiden einer Aluminiumoxidschicht und das Ausbilden einer Siliziumnitridschicht über der Aluminiumoxidschicht umfasst; Füllen eines Opfer-Zwischenschicht-Dielektrikums (ILD) in den Raum, wobei das Opfer-ILD über einem unteren Abschnitt der Maskenschicht liegt; Entfernen des Opfers-ILDs, um die Maskenschicht in dem Raum freizulegen; Füllen des Raums mit einem Füllstoff, wobei der Füllstoff über der Maskenschicht in dem Raum liegt; Entfernen des Füllstoffs und der Maskenschicht, um einen Source/Drain-Bereich freizulegen; und Ausbilden eines Kontaktsteckers in den Raum.
  10. Verfahren nach Anspruch 9, das, nachdem das Opfer-ILD ausgebildet wurde und bevor das Opfer-ILDs entfernt wird, das Ersetzen des ersten Dummy-Gatestapels und des zweiten Dummy-Gatestapels mit einem ersten Ersatz-Gatestapel bzw. einem zweiten Ersatz-Gatestapel umfasst.
  11. Verfahren nach einem der Ansprüche 9 bis 10, wobei die Maskenschicht unter Verwendung von Nassätzen mit einer Ätzselektivität von mehr als etwa 20 entfernt wird und die Ätzselektivität ein Verhältnis einer ersten Ätzrate der Maskenschicht zu einer zweiten Ätzrate des Source/Drain-Bereichs ist.
  12. Verfahren Anspruch 11, nach wobei das Entfernen der Maskenschicht unter Verwendung einer Mischung aus Butoxyethanol, Dydroxylamin, Diethylentriaminpentaessigsäure (DTPA) und Wasser durchgeführt wird.
  13. Verfahren, umfassend: Ausbilden einer Maskenschicht, die sich in einen Raum zwischen einem ersten Dummy-Gatestapel und einem zweiten Dummy-Gatestapel erstreckt, wobei das Ausbilden der Maskenschicht das Abscheiden einer Aluminiumoxidschicht und das Ausbilden einer Siliziumnitridschicht über der Aluminiumoxidschicht umfasst; Füllen eines Opfer-Zwischenschicht-Dielektrikums (ILD) über die Maskenschicht und in den Raum; Entfernen des Opfer-ILDs, um die Maskenschicht in dem Raum freizulegen; Entfernen der Maskenschicht aus dem Raum mittels Nassätzen, wobei ein entfernter Abschnitt der Maskenschicht umfasst: einen horizontalen Abschnitt an einem Boden des Raumes; und einen vertikalen Abschnitt, der sich zu einer oberen Fläche des ersten Dummy-Gatestapels erstreckt; und Ausbilden eines Kontaktsteckers in dem Raum.
  14. Verfahren nach Anspruch 13, wobei, nachdem die Maskenschicht von dem Raum entfernt wurde, Seitenwände eines ersten Gate-Abstandshalters und eines zweiten Gate-Abstandshalters auf gegenüberliegenden Seiten der Maskenschicht freigelegt werden und der erste Gate-Abstandshalter und der zweite Gate-Abstandshalter auf Seitenwänden des ersten Dummy-Gatestapels bzw. des zweiten Dummy-Gatestapels liegen.
  15. Verfahren nach einem der Ansprüche 13 bis 14, weiter umfassend: nachdem das Opfer-ILD entfernt wurde, Füllen des Raumes mit einem Füllstoff, wobei der Füllstoff über der Maskenschicht in dem Raum liegt; Planarisieren des Füllstoffs; und Entfernen des Füllstoffs.
  16. Verfahren nach Anspruch 15, wobei der Füllstoff Polysilizium oder amorphes Silizium umfasst.
DE102017110441.0A 2017-04-07 2017-05-15 Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand Active DE102017110441B3 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/482,028 2017-04-07
US15/482,028 US10153198B2 (en) 2017-04-07 2017-04-07 Low-resistance contact plugs and method forming same

Publications (1)

Publication Number Publication Date
DE102017110441B3 true DE102017110441B3 (de) 2018-05-24

Family

ID=62069215

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017110441.0A Active DE102017110441B3 (de) 2017-04-07 2017-05-15 Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand

Country Status (5)

Country Link
US (4) US10153198B2 (de)
KR (1) KR102010133B1 (de)
CN (1) CN108695240B (de)
DE (1) DE102017110441B3 (de)
TW (1) TWI669783B (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10312160B2 (en) * 2017-05-26 2019-06-04 International Business Machines Corporation Gate-last semiconductor fabrication with negative-tone resolution enhancement
US11277018B2 (en) 2017-06-22 2022-03-15 E-Peas S.A. Power management integrated circuit for energy harvesting with primary battery input
US10177038B1 (en) * 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
EP3514833B1 (de) * 2018-01-22 2022-05-11 GLOBALFOUNDRIES U.S. Inc. Halbleiterbauelement und verfahren
US10373875B1 (en) * 2018-03-22 2019-08-06 Globalfoundries Inc. Contacts formed with self-aligned cuts
US11101366B2 (en) * 2018-07-31 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma oxide layer
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US10868142B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure and method of forming same
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN112201614A (zh) * 2019-07-08 2021-01-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11264277B2 (en) 2019-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with spacers for self aligned vias
US10964792B1 (en) * 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
KR20210073142A (ko) 2019-12-10 2021-06-18 삼성전자주식회사 반도체 장치
US11495464B2 (en) * 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11387331B2 (en) * 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11502000B2 (en) * 2020-08-24 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom lateral expansion of contact plugs through implantation
US11749732B2 (en) * 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11688807B2 (en) * 2020-10-27 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming
US20220246479A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions and methods of forming same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130065371A1 (en) 2011-09-13 2013-03-14 Globalfoundries Inc. Methods for fabricating integrated circuits
US20130075821A1 (en) 2011-09-23 2013-03-28 Globalfoundries Inc. Semiconductor Device Comprising Replacement Gate Electrode Structures and Self-Aligned Contact Elements Formed by a Late Contact Fill

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09205198A (ja) 1996-01-24 1997-08-05 Toyota Motor Corp 電界効果型半導体装置及び半導体装置の製造方法
KR100568862B1 (ko) 2004-01-12 2006-04-10 삼성전자주식회사 반도체 소자의 콘택 형성방법
KR20060058576A (ko) 2004-11-25 2006-05-30 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
JP2007305819A (ja) * 2006-05-12 2007-11-22 Renesas Technology Corp 半導体装置およびその製造方法
US7879718B2 (en) 2006-12-27 2011-02-01 Spansion Llc Local interconnect having increased misalignment tolerance
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
CN102446769B (zh) * 2011-09-08 2013-12-04 上海华力微电子有限公司 一种降低碳辅助注入工艺流程中多晶硅栅电阻的方法
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102065973B1 (ko) * 2013-07-12 2020-01-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150228776A1 (en) * 2014-02-07 2015-08-13 Globalfoundries Inc. Methods of forming contacts to semiconductor devices using a bottom etch stop layer and the resulting devices
US9412656B2 (en) 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
US9437712B2 (en) * 2014-03-07 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. High performance self aligned contacts and method of forming same
US9634115B2 (en) * 2014-06-11 2017-04-25 Globalfoundries Inc. Methods of forming a protection layer on a semiconductor device and the resulting device
CA2949119C (en) * 2014-06-13 2019-02-26 The Procter & Gamble Company Apparatus and methods for modifying keratinous surfaces
US9190489B1 (en) 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme
US9397003B1 (en) 2015-05-27 2016-07-19 Globalfoundries Inc. Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
KR102480219B1 (ko) * 2015-09-16 2022-12-26 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
TWI675406B (zh) * 2015-10-07 2019-10-21 聯華電子股份有限公司 半導體元件及其製作方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130065371A1 (en) 2011-09-13 2013-03-14 Globalfoundries Inc. Methods for fabricating integrated circuits
US20130075821A1 (en) 2011-09-23 2013-03-28 Globalfoundries Inc. Semiconductor Device Comprising Replacement Gate Electrode Structures and Self-Aligned Contact Elements Formed by a Late Contact Fill

Also Published As

Publication number Publication date
US20190115249A1 (en) 2019-04-18
US20200365450A1 (en) 2020-11-19
US10741438B2 (en) 2020-08-11
KR20180113888A (ko) 2018-10-17
US10153198B2 (en) 2018-12-11
TW201838092A (zh) 2018-10-16
US20180294184A1 (en) 2018-10-11
CN108695240B (zh) 2020-12-01
US11532504B2 (en) 2022-12-20
TWI669783B (zh) 2019-08-21
KR102010133B1 (ko) 2019-08-12
US20230123827A1 (en) 2023-04-20
CN108695240A (zh) 2018-10-23

Similar Documents

Publication Publication Date Title
DE102017110441B3 (de) Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102015109820B4 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102017112815A1 (de) Selbstausgerichtete Gate-Hartmaske und Ausbildungsverfahren dafür
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102015108837B4 (de) Verfahren zur Herstellung eines FinFET und FinFET-Struktur
DE102017127542A1 (de) Struktur und verfahren für einen gate-isolierstecker
DE102019218267A1 (de) Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt über einem aktiven Bereich und resultierende Vorrichtung
DE102019117150A1 (de) Verringern von pattern loading beim rückätzen eines metallgates
DE102018125392A1 (de) Bildung stickstoffhaltiger Lagen als Oxidationsblockadelagen
DE102019110004A1 (de) Schlitzkontakte und verfahren zu deren herstellung
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102018128193A1 (de) Cut-metal-gate prozesse
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102019119716B4 (de) Isolation von source/drain-regionen zweier multi-gate-transistoren in dichter anordnung
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102018126937B4 (de) Finnenschnitt-Isolationsbereiche und Verfahren zu ihrem Bilden
DE102021115000A1 (de) Kontaktwiderstandsreduzierung für transistoren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final