DE102017113479A1 - Metallgates von transistoren mit verringertem widerstand - Google Patents

Metallgates von transistoren mit verringertem widerstand Download PDF

Info

Publication number
DE102017113479A1
DE102017113479A1 DE102017113479.4A DE102017113479A DE102017113479A1 DE 102017113479 A1 DE102017113479 A1 DE 102017113479A1 DE 102017113479 A DE102017113479 A DE 102017113479A DE 102017113479 A1 DE102017113479 A1 DE 102017113479A1
Authority
DE
Germany
Prior art keywords
layer
metal
cobalt
forming
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017113479.4A
Other languages
English (en)
Inventor
Chia-Ching Tsai
Yi-Wei Chiu
Li-Te Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017113479A1 publication Critical patent/DE102017113479A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Ein Verfahren umfasst das Ausbilden eines Transistors, was das Ausbilden eines Gatedielektrikums auf einem Halbleiterbereich, das Ausbilden einer Gateelektrode über dem Gatedielektrikum und das Ausbilden eines Source/Drain-Bereichs umfasst, der sich in den Halbleiterbereich erstreckt. Das Verfahren umfasst ferner das Ausbilden eines Source/Drain-Kontaktsteckers über und in elektrischer Verbindung mit dem Source/Drain-Bereich und das Ausbilden eines Gate-Kontaktsteckers über und in Kontakt mit der Gateelektrode. Das Ausbilden der Gateelektrode, das Ausbilden des Source/Drain-Kontaktsteckers und/oder das Ausbilden des Gate-Kontaktsteckers umfasst das Ausbilden einer Metallnitrid-Sperrschicht und das Abscheiden einer metallhaltigen Schicht über und in Kontakt mit der Metallnitrid-Sperrschicht. Die metallhaltige Schicht umfasst eine Kobaltschicht und/oder eine Metallsilizidschicht.

Description

  • BEANSPRUCHUNG DER PRIORITÄT UND QUERVERWEISE
  • Diese Anmeldung beansprucht die Priorität der folgenden vorläufigen US-Patentanmeldung: Seriennummer 62/491 823, eingereicht am 28. April 2017, mit dem Titel „Metal Gates of Transistors Having Reduced Resistivity“, die hiermit durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Metall-Oxid-Halbleiter-(MOS)-Vorrichtungen sind grundlegende Bauelemente in integrierten Schaltungen. Eine herkömmliche MOS-Vorrichtung weist typischerweise eine Gateelektrode auf, die aus Polysilizium ausgebildet ist, das mit p- oder n-Verunreinigungen dotiert ist, wobei Dotierungsvorgänge wie Ionenimplantation oder thermische Diffusion verwendet werden. Die Austrittsarbeit der Gateelektrode kann auf die Bandkante von Silizium eingestellt werden. Für eine n-Metall-Oxid-Halbleiter-(NMOS)-Vorrichtung kann die Austrittsarbeit nahe an das Leitungsband von Silizium eingestellt werden. Für eine p-Metall-Oxid-Halbleiter-(NMOS)-Vorrichtung kann die Austrittsarbeit nahe an das Valenzband von Silizium eingestellt werden. Das Einstellen der Austrittsarbeit der Polysilizium-Gateelektrode kann durch Auswahl geeigneter Verunreinigungen erreicht werden.
  • MOS-Vorrichtungen mit Polysilizium-Gateelektrode zeigen einen Trägerverarmungseffekt, der auch als Polyverarmungseffekt bekannt ist. Der Polyverarmungseffekt tritt auf, wenn die angelegten elektrischen Felder Träger von Gatebereichen in der Nähe von Gatedielektrika absaugen, wodurch Verarmungsschichten ausgebildet werden. In einer n-dotierten Polysiliziumschicht enthält die Verarmungsschicht ionisierte nicht-mobile Donatorstellen, wogegen in einer p-dotierten Polysiliziumschicht die Verarmungsschicht ionisierte nicht-mobile Akzeptorstellen enthält. Der Verarmungseffekt führt zu einer höheren effektiven Dicke des Gatedielektrikums, was es schwieriger macht, dass eine Inversionsschicht an der Oberfläche des Halbleiters erzeugt wird.
  • Das Polyverarmungsproblem kann durch Ausbilden von Metallgateelektroden gelöst werden, wobei die metallischen Gates, die in NMOS-Vorrichtungen und PMOS-Vorrichtungen verwendet werden, auch Bandkanten-Austrittsarbeiten aufweisen können. Daher umfassen die resultierenden Metallgates eine Vielzahl von Schichten, um die Anforderungen der NMOS- und PMOS-Vorrichtungen zu erfüllen.
  • Das Ausbilden von Metallgates beinhaltet typischerweise das Abscheiden von Metallschichten und dann das Durchführen von chemisch-mechanischem Polieren (CMP), um überschüssige Abschnitte der Metallschichten zu entfernen. Die übrigen Abschnitte der Metallschichten bilden Metallgates. Die Metallgates werden dann vertieft. Die Metallgates können Wolfram aufweisen. Allerdings hat Wolfram keine gute Haftung mit den darunterliegenden Schichten. Deshalb wird eine Wolfram-Keimbildungsschicht ausgebildet, gefolgt von dem Abscheiden einer zusätzlichen Wolframschicht. Die Wolfram-Keimbildungsschicht weist eine verbesserte Haftung an ihrer darunterliegenden Schicht auf. Der spezifische Widerstand der Wolfram-Keimbildungsschicht ist jedoch viel höher als der des darüber liegenden abgeschiedenen Wolframs. Wenn daher die MOS-Vorrichtungen verkleinert werden und die Breite der Metallgates sehr klein ist, beeinflusst der spezifische Widerstand der Wolfram-Keimbildungsschicht die Leistung des resultierenden Transistors erheblich.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert werden.
    • Die 1 bis 18 zeigen Querschnittsansichten und Perspektivansichten von Zwischenstufen beim Ausbilden von Fin-Feldeffekttransistoren (FinFETs) in Übereinstimmung mit einigen Ausführungsformen.
    • 19 zeigt eine Querschnittsansicht eines FinFETs mit einem realen Profil, das in Übereinstimmung mit einigen Ausführungsformen gezeigt ist.
    • 20 zeigt ein Flussdiagramm eines Verfahrens zum Ausbilden eines FinFETs gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „darunter liegend“, „unten“, „darüber liegend“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Es sind Transistoren und Verfahren zu ihrer Herstellung in Übereinstimmung mit verschiedenen beispielhaften Ausführungsformen vorgesehen. Die Zwischenstufen des Ausbildens der Transistoren sind in Übereinstimmung mit einigen Ausführungsformen gezeigt. Es werden einige Varianten einiger Ausführungsformen beschrieben. In den verschiedenen Ansichten und beispielhaften Ausführungsformen werden gleiche Bezugszeichen zum Bezeichnen gleicher Elemente verwendet. In den gezeigten beispielhaften Ausführungsformen wird das Ausbilden von Fin-Feldeffekttransistoren (FinFETs) als Beispiel verwendet, um die Konzepte der vorliegenden Offenbarung zu erläutern. Planare Transistoren können auch das Konzept der vorliegenden Offenbarung verwenden.
  • Die 1 bis 18 zeigen Querschnittsansichten und Perspektivansichten von Zwischenstufen beim Ausbilden von FinFETs in Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung. Die in den 1 bis 18 gezeigten Schritte sind auch schematisch in dem Verfahrensfluss in 20 wiedergegeben.
  • 1 zeigt eine Perspektivansicht einer Anfangsstruktur. Die Anfangsstruktur umfasst einen Wafer 10, der weiter ein Substrat 20 umfasst. Das Substrat 20 kann ein Halbleitersubstrat sein, das ein Siliziumsubstrat, ein Silizium-Germanium-Substrat oder ein Substrat sein kann, das aus anderen Halbleitermaterialien besteht. Das Substrat 20 kann mit einer p-Verunreinigung oder einer n-Verunreinigung dotiert sein. Isolationsbereiche 22 wie flache Grabenisolations-(STI)-Bereiche können so ausgebildet werden, dass sie sich von einer oberen Fläche des Substrats 20 in das Substrat 20 erstrecken, wobei die obere Fläche des Substrats 20 eine Hauptfläche 10A des Wafers 10 ist. Die Abschnitte des Substrats 20 zwischen benachbarten STI-Bereichen 22 werden als Halbleiterstreifen 24 bezeichnet. Die oberen Flächen der Halbleiterstreifen 24 und die oberen Flächen der STI-Bereiche 22 können in Übereinstimmung in einigen beispielhaften Ausführungsformen im Wesentlichen plan zueinander sein.
  • Die STI-Bereiche 22 können ein Trennoxid (nicht gezeigt) umfassen. Das Trennoxid kann aus einem thermischen Oxid ausgebildet sein, das durch eine thermische Oxidation einer Oberflächenschicht des Substrats 20 ausgebildet wird. Das Trennoxid kann auch eine abgeschiedene Siliziumoxidschicht sein, die unter Verwendung von beispielsweise Atomlagenabscheidung (ALD), CVD in hochdichtem Plasma (HDPCVD) oder chemischer Dampfabscheidung (CVD) ausgebildet wird. Die STI-Bereiche 22 können auch ein dielektrisches Material über dem Trennoxid umfassen, wobei das dielektrische Material durch fließfähige chemische Dampfabscheidung (FCVD), Rotationsbeschichtung oder dergleichen ausgebildet werden kann.
  • Mit Bezugnahme auf 2 werden die STI-Bereiche 22 vertieft, so dass die oberen Abschnitte der Halbleiterstreifen 24 höher als die oberen Flächen der STI-Bereiche 22 herausragen, um herausragende Rippen 24' auszubilden. Das Ätzen kann unter Verwendung eines Trockenätzverfahrens durchgeführt werden, wobei HF3 und NH3 als Ätzgase verwendet werden. Während des Ätzverfahrens kann Plasma erzeugt werden. Argon kann auch verwendet werden. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung wird das Vertiefen der STI-Bereiche 22 unter Verwendung eines Nassätzverfahrens durchgeführt. Die Ätzchemikalie kann beispielsweise verdünnte HF enthalten.
  • Mit Bezugnahme auf 3 wird ein Dummy-Gatestapel 30 auf den oberen Flächen und den Seitenwänden der vorstehenden Rippen 24' ausgebildet. Der Dummy-Gatestapel 30 kann ein Dummy-Gatedielektrikum 32 und eine Dummy-Gateelektrode 34 über dem Dummy-Gatedielektrikum 32 umfassen. Die Dummy-Gateelektrode 34 kann beispielsweise unter Verwendung von Polysilizium ausgebildet werden und auch andere Materialien können verwendet werden. Der Dummy-Gatestapel 30 kann auch eine (oder eine Vielzahl von) Hartmaskenschicht(en) 36 über der Dummy-Gateelektrode 34 umfassen. Die Hartmaskenschicht 36 kann aus Siliziumnitrid, Siliziumcarbonitrid oder dergleichen bestehen. Der Dummy-Gatestapel 30 kann eine einzige oder mehrere vorstehende Rippen 24' und/oder STI-Bereiche 22 kreuzen. Der Dummy-Gatestapel 30 kann auch eine Längsrichtung senkrecht zu der Längsrichtung der vorstehenden Rippen 24' haben.
  • Als nächstes werden Gate-Abstandshalter 38 auf den Seitenwänden des Dummy-Gatestapels 30 ausgebildet. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung werden die Gate-Abstandshalter 38 aus einem dielektrischen Material wie Silizium-Kohlenstoff-Oxynitrid (SiCN), Siliziumnitrid oder dergleichen ausgebildet und können eine einschichtige Struktur oder eine mehrschichtige Struktur aufweisen, die eine Vielzahl von dielektrischen Schichten aufweist.
  • Ein Ätzschritt (nachfolgend als Source/Drain-Vertiefen bezeichnet) wird dann durchgeführt, um die Abschnitte der vorstehenden Rippen 24' zu ätzen, die nicht von dem Dummy-Gatestapel 30 und den Gate-Abstandshaltern 38 bedeckt sind, was zu der in 4 gezeigten Struktur führt. Das Vertiefen kann anisotrop sein, so dass die Abschnitte der Rippen 24' direkt unter dem Dummy-Gatestapel 30 und den Gate-Abstandshaltern 38 geschützt sind und nicht geätzt werden. Die oberen Flächen 24A der vertieften Halbleiterstreifen 24 können in Übereinstimmung mit einigen Ausführungsformen niedriger als die oberen Flächen 22A der STI-Bereiche 22 sein. Vertiefungen 40 werden somit zwischen den STI-Bereichen 22 ausgebildet. Die Vertiefungen 40 befinden sich auf gegenüberliegenden Seiten des Dummy-Gatestapels 30.
  • Als nächstes werden Epitaxiebereiche (Source/Drain-Bereiche) durch selektives Aufwachsen eines Halbleitermaterials in den Vertiefungen 40 ausgebildet, was zu der Struktur in 5 führt. In Übereinstimmung mit einigen beispielhaften Ausführungsformen umfassen die Epitaxiebereiche 42 Silizium-Germanium oder Silizium. Abhängig davon, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann eine p- oder eine n-Verunreinigung in situ im Verlauf der Epitaxie dotiert werden. Wenn der resultierende FinFET beispielsweise ein p-FinFET ist, kann Silizium-Germanium-Bor (SiGeB) gezüchtet werden. Umgekehrt kann, wenn der resultierende FinFET ein n-FinFET ist, Silizium-Phosphor (SiP) oder Silizium-Kohlenstoff-Phosphor (SiCP) gezüchtet werden. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung sind die Epitaxiebereiche 42 aus einem III-V-Verbindungshalbleiter wie GaAs, InP, GaN, InGaAs, InAlAs, GaSb, Al, AlAs, AlP, GaP, Kombinationen davon oder Mehrfachschichten davon ausgebildet. Nachdem die Vertiefungen 40 mit den Epitaxiebereichen 42 gefüllt wurden, bewirkt das weitere epitaktische Wachstum der Epitaxiebereiche 42, dass sich die Epitaxiebereiche 42 horizontal ausdehnen und Facetten ausgebildet werden können.
  • Nach dem Epitaxieschritt können die Epitaxiebereiche 42 weiter mit einer p- oder einer n-Verunreinigung implantiert werden, um Source- und Drain-Bereiche auszubilden, die ebenfalls mit dem Bezugszeichen 42 bezeichnet sind. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung wird der Implantationsschritt übersprungen, wenn die Epitaxiebereiche 42 in situ mit der p- oder der n-Verunreinigung während der Epitaxie dotiert werden. Die Epitaxiebereiche 42 umfassen untere Abschnitte 42A, die in den STI-Bereichen 22 ausgebildet sind, und obere Abschnitte 42B, die über den oberen Flächen 22A der STI-Bereiche 22 ausgebildet sind. Die unteren Abschnitte 42A, deren Seitenwände durch die Form der Vertiefungen 40 (4) geformt sind, können (im Wesentlichen) gerade Kanten aufweisen, die auch im Wesentlichen vertikale Kanten sein können, die im Wesentlichen senkrecht zu den Hauptflächen (wie etwa der unteren Fläche) des Substrats 20 sein können.
  • 6A zeigt eine Perspektivansicht der Struktur, bei der ein Zwischenschicht-Dielektrikum (ILD) 46 ausgebildet wurde. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung werden eine Pufferoxidschicht (nicht gezeigt) und eine Kontakt-Ätzstoppschicht (CESL) 47 auf den Source- und Drainbereichen 42 vor dem Ausbilden des ILDs 46 ausgebildet. Die Pufferoxidschicht kann aus Siliziumoxid und die CESL 47 aus Siliziumnitrid, Siliziumcarbonitrid oder dergleichen ausgebildet sein. Die Pufferoxidschicht und die CESL 47 können unter Verwendung eines konformen Abscheidungsverfahrens, wie beispielsweise ALD, ausgebildet werden. Das ILD 46 kann ein dielektrisches Material umfassen, das unter Verwendung von beispielsweise FCVD, Rotationsbeschichtung, CVD oder anderen Abscheidungsverfahren ausgebildet wird. Das ILD 46 kann auch aus Phosphorsilikatglas (PSG), Borsilikatglas (BSG), Bor-dotiertem Phosphorsilikatglas (BPSG), Tetraethylorthosilikat-(TEOS)-Oxid oder dergleichen ausgebildet sein. Eine Planarisierung, wie z. B. chemisch-mechanisches Polieren (CMP) oder mechanisches Schleifen, kann durchgeführt werden, um die oberen Flächen des ILDs 46, des Dummy-Gatestapels 30 und der Gate-Abstandshalter 38 aneinander anzugleichen.
  • Eine Querschnittsansicht der in 6A gezeigten Struktur ist in 6B gezeigt, wobei die Querschnittsansicht aus der vertikalen Ebene erhalten wird, die die Linie A-A in 6A enthält. Als nächstes wird der Dummy-Gatestapel 30, der die Hartmaskenschicht 36, die Dummy-Gateelektrode 34 und das Dummy-Gatedielektrikum 32 umfasst, durch ein Metallgate und ein Ersatz-Gatedielektrikum ersetzt. Die in den 7 bis 18 gezeigten Querschnittsansichten werden aus der gleichen vertikalen Ebene erhalten, die die Linie A-A in 6A enthält. In den 7 bis 18 ist das Niveau 22A der oberen Flächen der STI-Bereiche 22 gezeigt und die Halbleiterrippen 24' liegen über dem Niveau 22A.
  • Die Hartmaskenschicht 36, die Dummy-Gateelektrode 34 und das Dummy-Gatedielektrikum 32, wie in den 6A und 6B gezeigt, werden entfernt, was zum Ausbilden einer Öffnung 48 führt, wie in 7 gezeigt ist. Der entsprechende Schritt ist als Schritt 202 in dem in 20 gezeigten Verfahrensfluss gezeigt. Die oberen Flächen und die Seitenwände der vorstehenden Rippen 24' sind gegenüber der Öffnung 48 freiliegend.
  • 7 zeigt weiter das Ausbilden von Gate-Abstandshaltern 50 in Übereinstimmung mit einigen Ausführungsformen. In Übereinstimmung mit alternativen Ausführungsformen werden die Gate-Abstandshalter 50 nicht ausgebildet. Um die Gate-Abstandshalter 50 auszubilden, kann eine deckende Gate-Abstandshalterschicht ausgebildet werden, beispielsweise unter Verwendung eines Abscheidungsverfahrens wie ALD oder CVD. Die deckende Gate-Abstandshalterschicht ist konform. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung ist die Gate-Abstandshalterschicht aus Siliziumnitrid (SiN), SiC, SiON oder einem anderen dielektrischen Material ausgebildet, das gleich oder verschieden von sowohl den Materialien der Gate-Abstandshalter 38 als auch den Materialien der CESL 47 und des ILDs 46 sein können. Die Gate-Abstandshalter 50 trennen die nachfolgend ausgebildeten Metallgates noch weiter von den Source/Drain-Bereichen 42 und die Möglichkeit von Leckströmen und elektrischen Kurzschlüssen zwischen ihnen wird verringert.
  • Als nächstes wird unter Bezugnahme auf 8 ein Gatedielektrikum 52 ausgebildet, das sich in die Öffnung 48 erstreckt. Der entsprechende Schritt ist als Schritt 204 in dem in 20 gezeigten Verfahrensfluss gezeigt. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Gatedielektrikum 52 eine Grenzschicht (IL) 54 als seinen unteren Teil. Die IL 54 wird auf den freiliegenden Oberflächen der vorstehenden Rippen 24' ausgebildet. Die IL 54 kann eine Oxidschicht wie eine Siliziumoxidschicht umfassen, die durch thermische Oxidation der vorstehenden Rippen 24', ein chemisches Oxidationsverfahren oder ein Abscheidungsverfahren ausgebildet wird. Das Gatedielektrikum 52 kann auch eine high-k-dielektrische Schicht 56 umfassen, die der IL 54 ausgebildet ist. Die high-k-dielektrische Schicht 56 umfasst ein high-k-dielektrisches Material wie Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirkoniumoxid oder dergleichen. Die Dielektrizitätskonstante (k-Wert) des high-k-dielektrischen Materials ist höher als 3,9 und kann höher als etwa 7,0 sein. Die high-k-dielektrische Schicht 56 liegt über der IL 54 und kann sie berühren. Die high-k-dielektrische Schicht 56 wird als eine konforme Schicht ausgebildet und erstreckt sich auf den Seitenwänden der vorstehenden Rippen 24' und der oberen Fläche und den Seitenwänden der Gate-Abstandshalter 38/50. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird die high-k-dielektrische Schicht 56 unter Verwendung von ALD oder CVD ausgebildet.
  • Unter weiterer Bezugnahme auf 8 werden gestapelte Schichten 58 abgeschieden. Der entsprechende Schritt ist als Schritt 206 in dem in 20 gezeigten Verfahrensfluss gezeigt. Die Teilschichten in den gestapelten Schichten 58 sind nicht separat gezeigt, während in Wirklichkeit die Teilschichten unterscheidbar sind, da die Teilschichten aus unterschiedlichen Materialien ausgebildet sind und/oder unterschiedliche Anteile von Elementen aufweisen. Das Abscheiden kann unter Verwendung eines konformen Abscheidungsverfahrens wie ALD oder CVD so durchgeführt werden, dass die Dicke T1 der vertikalen Abschnitte und die Dicke T2 der horizontalen Abschnitte der gestapelten Schichten 58 (und jeder der Teilschichten) im Wesentlichen gleich sind. Die gestapelten Schichten 58 erstrecken sich in die Öffnungen 48 und umfassen einige Abschnitte über dem ILD 46.
  • Die gestapelten Schichten 58 können eine Diffusionssperrschicht und eine oder mehrere Austrittsarbeitsschichten über der Diffusionssperrschicht aufweisen. Die Diffusionssperrschicht kann aus Titannitrid bestehen, das mit Silizium dotiert sein kann. Titannitrid wird, wenn es mit Silizium dotiert ist, manchmal auch als Titansiliziumnitrid (Ti-Si-N oder TSN) bezeichnet. Titannitrid oder Titansiliziumnitrid sind leitfähige Materialien. Die Austrittsarbeitsschicht bestimmt die Austrittsarbeit der Gateelektrode und umfasst mindestens eine Schicht oder mehrere Schichten aus unterschiedlichen Materialien. Das spezifische Material der Austrittsarbeitsschicht kann danach ausgewählt werden, ob der jeweilige FinFET ein n-FinFET oder ein p-FinFET ist. Wenn der FinFET beispielsweise ein n-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht und eine Titan-Aluminium-(TiAl)-Schicht über der TaN-Schicht umfassen. Wenn der FinFET ein p-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht, eine TiN-Schicht über der TaN-Schicht und eine TiAl- Schicht über der TiN-Schicht umfassen. Nach dem Abscheiden der gestapelten Schichten 58 wird eine Sperrschicht 60 ausgebildet, die eine weitere TiN-Schicht sein kann. Die TiN-Schicht 60 kann unter Verwendung von CVD ausgebildet werden und kann als Sperrschicht wirken. Der entsprechende Schritt ist auch als Schritt 206 in dem in 20 gezeigten Verfahrensfluss gezeigt. Die TiN-Schicht 60 kann gemäß einigen Ausführungsformen siliziumfrei sein.
  • Als nächstes wird ein metallhaltiges Material 62 abgeschieden, das eine untere Fläche in körperlichem Kontakt mit der oberen Fläche der TiN-Schicht 60 aufweist. Der entsprechende Schritt ist als Schritt 208 in dem in 20 gezeigten Verfahrensfluss gezeigt. Das Ausbilden des metallhaltigen Materials 62 kann durch CVD, ALD oder PVD erreicht werden. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird physikalische Dampfabscheidung (PVD) verwendet, die unter Verwendung eines Kobalttargets durchgeführt wird, das über dem jeweiligen Wafer 10 angeordnet ist. Darüber hinaus werden Vorläufer auch während der PVD eingeführt. Das Abscheiden umfasst also sowohl die PVD als auch die CVD. In Übereinstimmung mit einigen Ausführungsformen umfassen die Vorläufer zum Abscheiden des metallhaltigen Materials 62 einen kobalthaltigen Vorläufer, einen siliziumhaltigen Vorläufer und möglicherweise andere Gase. Beispielsweise können die Vorläufer zum Ausbilden des metallhaltigen Materials 62 Tetraethoxysilan (TEOS), SiHCl3 und einen kobalthaltigen Vorläufer wie Dikobaltoctacarbonyl, Kobaltnitrosylkomplexe oder β-Diketonate von Kobalt (II) und Kobalt (III) und dergleichen umfassen.
  • Gemäß einigen Ausführungsformen umfasst das metallhaltige Material 62 eine Schicht 62A und eine Schicht 62B über der Schicht 62A. In Übereinstimmung mit einigen Ausführungsformen ist die Schicht 62A ein Kobaltsilizid (CoxSiy, wobei x und y atomare Anteile sind und Werte zwischen 0 und 1,0 haben). Die Schicht 62B ist eine Kobaltschicht, die frei oder im Wesentlichen frei von Silizium oder anderen Elementen ist (z. B. mit einem atomaren Anteil von weniger als etwa 1%). Wenn beide Schichten 62A und 62B kobalthaltige Schichten sind, können die Herstellungskosten reduziert werden. Beispielsweise können der gleiche siliziumhaltige Vorläufer und kobalthaltige Vorläufer (und möglicherweise ein zusätzliches Co-Target) zum Abscheiden der beiden Schichten 62A und 62B verwendet werden. In Übereinstimmung mit einem beispielhaften Abscheidungsverfahren kann, wenn die Schicht 62A (CoxSiy) abgeschieden wird, die Temperatur des Wafers 10 im Bereich zwischen etwa 85°C und etwa 120°C liegen. Nachdem die Abscheidung der Schicht 62A abgeschlossen ist, wird die Temperatur des Wafers 10 beispielsweise auf etwa 25 °C gesenkt und mit denselben Vorläufern (mit oder ohne Verwendung des zusätzlichen Co-Targets) die Kobaltschicht 62B ausgebildet, die frei oder im Wesentlichen frei von Silizium ist. In Übereinstimmung mit einigen Ausführungsformen wird der Übergang von der Abscheidung der Schicht 62A zu der Abscheidung der Schicht 62B durch Absenken der Temperatur des Wafers 10 erreicht, während andere Verfahrensbedingungen (wie die Durchflussrate der Vorläufer, Partialdruck, Leistung usw.) unverändert gehalten werden. Das Ausbilden des metallhaltigen Materials 62 kann auch durch allmähliches Reduzieren der Temperatur des Wafers 10 erreicht werden, so dass die Schicht 62A einen allmählich reduzierten Siliziumgehalt aufweist, wobei obere Abschnitte der Schicht 62A weniger Silizium als die jeweiligen unteren Abschnitte aufweisen. Die allmähliche Verringerung der Temperatur kann kontinuierlich erfolgen. Die allmähliche Verringerung der Temperatur kann auch durch abrupte Schritte erfolgen, was bedeutet, dass die Temperatur plötzlich auf eine niedrigere Stufe fällt und für eine Weile unverändert bleibt, bevor sie auf eine noch niedrigere Stufe fällt. Der allmähliche Übergang wird fortgesetzt, bis die jeweilige ausgebildete Schicht frei oder im Wesentlichen frei von Silizium ist, wobei zu diesem Zeitpunkt die Schicht 62B sich zu bilden beginnt. Die Temperatur kann dann stabil sein, wenn die resultierende Schicht eine Kobaltschicht ist. So kann die gesamte Schicht 62B eine Kobaltschicht sein, die frei oder im Wesentlichen frei von Silizium und anderen Elementen ist, während die Schicht 62A einen graduell (abrupt oder kontinuierlich) reduzierten Siliziumanteil aufweist.
  • In Übereinstimmung mit alternativen Ausführungsformen ist die untere Schicht 62A eine Kobaltschicht und die obere Schicht 62B eine Kobaltsilizidschicht. Das Ausbildungsverfahren kann gegenüber dem oben beschriebenen umgekehrt werden, um die Schichten 62A und 62B auszubilden.
  • In Übereinstimmung mit einigen Ausführungsformen ist die Schicht 62A aus einem Metallsilizid (unter Verwendung eines anderen Metalls als Kobalt) ausgebildet, das aus TixSiy, NixSiy, WxSiy, MoxSiy, TaxSiy bestehen kann, und die Schicht 62B ist eine Kobaltschicht, die frei oder im Wesentlichen frei von Silizium und anderen Elementen ist.
  • Gemäß einigen Ausführungsformen ist die gesamte Schicht 62 aus einem homogenen Material ausgebildet, das Kobalt (frei oder im Wesentlichen frei von Silizium und anderen Elementen) oder ein Metallsilizid wie TixSiy, NixSiy, WxSiy, MoxSiy oder TaxSiy sein kann. Die gesamte Schicht 62 hat einen einheitlichen spezifischen Widerstand. Wenn sie aus der Silizidschicht gebildet wird, kann die gesamte Schicht 62 konstante Anteile x und y aufweisen und den einheitlichen spezifischen Widerstand haben oder kann sich graduell ändernde (etwa graduell sinkende oder graduell steigende) Anteile x und y von unten nach oben aufweisen. Das Ausbildungsverfahren kann somit während des Ausbildens der gesamten Schicht 62 gleiche Verfahrensbedingungen (wie Temperatur, Druck, Durchflussrate oder dergleichen) aufweisen.
  • Als nächstes wird ein Planarisieren wie ein chemisch-mechanisches Polieren (CMP) oder ein mechanisches Schleifen durchgeführt, so dass die Abschnitte der Schichten 56, 58, 60 und 62 über dem ILD 46 entfernt werden. Der entsprechende Schritt ist als Schritt 210 in dem in 20 gezeigten Verfahrensfluss gezeigt. Als nächstes werden, wie in 9 gezeigt, die Schichten 56, 58, 60 und 62 zurückgeätzt, wodurch eine Vertiefung 63 ausgebildet wird. Der entsprechende Schritt ist als Schritt 212 in dem in 20 gezeigten Verfahrensfluss gezeigt. Der verbleibende Teil der Schichten 54, 56, 58, 60 und 62 wird nachfolgend als Ersatz-Gatestapel 64 bezeichnet.
  • Eine Hartmaske 66 wird über dem Ersatz-Gatestapel 64 ausgebildet, wie in 10 gezeigt ist. Der entsprechende Schritt ist auch als Schritt 212 in dem in 20 gezeigten Verfahrensfluss gezeigt. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Ausbilden der Hartmaske 66 einen Abscheidungsschritt, um ein deckendes dielektrisches Material auszubilden, und einen Planarisierungsschritt, um das überschüssige dielektrische Material über den Gate-Abstandshaltern 38 und dem ILD 46 zu entfernen. Die Hartmaske 66 kann beispielsweise aus Siliziumnitrid bestehen.
  • Die 11 bis 14 zeigen das Ausbilden von unteren Source/Drain-Kontaktsteckern. Unter Bezugnahme auf 11 wird eine dielektrische Schicht 67 über der in 10 gezeigten Struktur ausgebildet, gefolgt von dem Aufbringen eines strukturierten Photoresists (nicht gezeigt). Als nächstes werden die dielektrische Schicht 67, das ILD 46 und die CESL 47 geätzt, um Kontaktöffnungen 68 auszubilden. Der entsprechende Schritt ist als Schritt 214 in dem in 20 gezeigten Verfahrensfluss gezeigt.
  • Unter weiterer Bezugnahme auf 11 wird eine Metallschicht 72 (wie etwa eine Titanschicht oder eine Tantalschicht) beispielsweise unter Verwendung von PVD abgeschieden. Eine Sperrschicht 74, die eine Metallnitridschicht wie beispielsweise eine Titannitridschicht oder eine Tantalnitridschicht sein kann, wird dann über der Metallschicht 72 ausgebildet. Der entsprechende Schritt ist als Schritt 216 in dem in 20 gezeigten Verfahrensfluss gezeigt. Die Sperrschicht 74 kann unter von Verwendung von CVD ausgebildet werden. Die Schichten 72 und 74 sind beide konform und erstrecken sich in die Öffnungen 68.
  • Anschließend wird ein Glühen durchgeführt, um einen Source/Drain-Silizidbereich 76 auszubilden, wie in 12 gezeigt ist. Der entsprechende Schritt ist als Schritt 218 in dem in 20 gezeigten Verfahrensfluss gezeigt. Das Glühen kann durch Rapid Thermal Annealing (RTA), Ofenglühen oder dergleichen durchgeführt werden. Dort reagiert der untere Abschnitt der Metallschicht 72 mit dem Source/Drain-Bereich 42, um die Silizidbereiche 76 auszubilden. Die Seitenwandabschnitte der Metallschicht 72 verbleiben nach dem Silizidierungsverfahren. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung steht die obere Fläche der Silizidbereiche 76 in Kontakt mit der unteren Fläche der Sperrschicht 74.
  • Als nächstes wird, wie in 13 gezeigt, eine metallhaltige Schicht 78 über und in Kontakt mit der Sperrschicht 74 abgeschieden. Der entsprechende Schritt ist als Schritt 220 in dem in 20 gezeigten Verfahrensfluss gezeigt. Die metallhaltige Schicht 78 kann aus einem Material ausgebildet werden, das aus der gleichen Gruppe von Kandidatenmaterialien wie das metallhaltige Materials 62 ausgewählt ist. Weiterhin können das Ausbildungsverfahren, das Material und die Struktur der metallhaltigen Schicht 78 auch aus den Kandidaten-Ausbildungsverfahren, den Kandidatenmaterialien und den Kandidatenstrukturen des metallhaltigen Materials 62 ausgewählt werden. Beispielsweise kann die metallhaltige Schicht 78 eine homogene Kobaltschicht oder eine homogene Metallsilizidschicht sein oder kann eine untere Schicht 78A und eine obere Schicht 78B umfassen, wobei die Ausbildungsverfahren, die Materialien und die Materialien der Schichten 78A und 78B mit Bezug auf die oben beschriebenen Schichten 62A bzw. 62B in jeder Kombination erhalten werden können.
  • Ein Planarisieren, wie z. B. CMP, wird dann durchgeführt, um die Abschnitte der Schichten 72 und 74 über der Schicht 67 zu entfernen. Der entsprechende Schritt ist als Schritt 222 in dem in 20 gezeigten Verfahrensfluss gezeigt. Die resultierende Struktur ist in 14 gezeigt, die Source/Drain-Kontaktstecker 79 zeigt. Jeder der Source/Drain-Kontaktstecker 79 umfasst eine metallhaltige Schicht 78, eine Sperrschicht 74 und eine Metallschicht 72.
  • Die 15 bis 17 zeigen das Ausbilden eines Gate-Kontaktsteckers. Der entsprechende Schritt ist als Schritt 224 in dem in 20 gezeigten Verfahrensfluss gezeigt. Unter Bezugnahme auf 15 wird ein Photolithographieverfahren unter Verwendung einer Lithographiemaske (nicht gezeigt) durchgeführt, um die dielektrische Schicht 67 zu durchätzen. Die Hartmaske 66 (14) wird dann entfernt, wodurch eine Öffnung 80 ausgebildet wird. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Ausbilden der Öffnung 80 ein anisotropes Ätzen zum Durchätzen der dielektrischen Schicht 67 und ein isotropes Ätzen (trocken oder nass) oder ein anisotropes Ätzen zum Entfernen der Hartmaske 66. Die Seitenwände der Gate-Abstandshalter 50 (falls vorhanden) werden so freigelegt. Bei den Ausfiihrungsformen, in denen die Gate-Abstandshalter 50 nicht ausgebildet sind, sind die Seitenwände der Gate-Abstandshalter 38 der Öffnung 80 ausgesetzt. Das Ätzmittel zum Ätzen der dielektrischen Schicht 67 und der Hartmaske 66 wird so ausgewählt, dass die Gate-Abstandshalter 50 und 38 im Wesentlichen nicht geätzt werden. In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung ist die Öffnung 80 schmaler als die Hartmaske 66 und daher werden nach dem Ätzen ein Teil der Randabschnitte der Hartmaske 66 zurückgelassen.
  • Unter Bezugnahme auf 16 werden eine Sperrschicht 82 und metallhaltiges Material 84 abgeschieden. Die Sperrschicht 82 kann aus Titannitrid oder Tantalnitrid bestehen. Das Material, die Struktur und das Ausbildungsverfahren des metallhaltigen Materials 84 können aus den Kandidatenmaterialien, Kandidatenstrukturen bzw. Kandidaten-Ausbildungsverfahren des metallhaltigen Materials 62 ausgewählt werden und daher werden die Details hier nicht wiederholt und können mit Bezug auf die Beschreibung des metallhaltigen Materials 62 erhalten werden. Dementsprechend kann das metallhaltige Material 84, ähnlich dem metallhaltigen Material 62, auch aus Kobalt, einem Metallsilizid oder Verbundschichten davon bestehen. In einem nachfolgenden Schritt wird ein Planarisieren wie ein CMP durchgeführt. Das Planarisieren kann durchgeführt werden, bis die gesamte Schicht 67 entfernt und das ILD 46 freigelegt ist. Dementsprechend wirkt die Schicht 67 als Opferschicht. Die resultierende Struktur ist in 17 gezeigt, die einen Kontaktstecker 86 zeigt, der aus den verbleibenden Abschnitten der Schichten 82 und 84 gebildet ist. Es wurde somit ein FinFET 300 ausgebildet.
  • 18 zeigt das Ausbilden einer Ätzstoppschicht 88, eines ILDs 90 und von Source/Drain-Kontaktsteckern (Durchkontaktierungen) 92 in der Ätzstoppschicht 88 und dem ILD 90. Die Ätzstoppschicht 88 kann aus Siliziumcarbid, Siliziumoxynitrid, Siliziumcarbonitrid oder dergleichen bestehen und kann unter Verwendung eines Abscheidungsverfahrens wie CVD ausgebildet werden. Das ILD 90 kann ein Material umfassen, das aus PSG, BSG, BPSG, Fluorsilikatglas (FSG), TEOS-Oxid oder anderen nichtporösen low-k-dielektrischen Materialien ausgewählt ist. Das ILD 90 kann unter Verwendung von Rotationsbeschichtung, fließfähiger chemischer Dampfabscheidung (FCVD) oder dergleichen oder unter Verwendung eines Abscheidungsverfahrens wie plasmaverstärkter chemischer Dampfabscheidung (PECVD), Niederdruck-chemischer Dampfabscheidung (LPCVD) oder dergleichen ausgebildet werden.
  • Das ILD 90 und die Ätzstoppschicht 88 werden geätzt, um Öffnungen auszubilden (die von den Durchkontaktierungen 92 belegt sind). Das Ätzen kann unter Verwendung von beispielsweise reaktivem Ionenätzen (RIE) durchgeführt werden In einem nachfolgenden Schritt werden Durchkontaktierungen 92 ausgebildet. In Übereinstimmung mit einigen Ausführungsformen umfassen die Durchkontaktierungen 92 eine Sperrschicht 94 und metallhaltiges Material 96 über der Sperrschichten 94. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Ausbilden der Durchkontaktierungen 92 das Ätzen der Schichten 88 und 90, um Kontaktöffnungen auszubilden, das Ausbilden einer deckenden Sperrschicht und eines metallhaltigen Materials über der deckenden Sperrschicht und das Durchführen eines Planarisierens, um überschüssige Anteile der deckenden Sperrschicht und des metallhaltigen Materials zu entfernen. Die Sperrschicht 94 kann aus einem Metallnitrid wie Titannitrid oder Tantalnitrid ausgebildet sein. Das Material, die Struktur und das Ausbildungsverfahren des metallhaltigen Materials 96 können aus den Kandidatenmaterialien, Kandidatenstrukturen bzw. Kandidaten-Ausbildungsverfahren des metallhaltigen Materials 62 ausgewählt werden, und daher werden die Details hier nicht wiederholt.
  • Die Durchkontaktierungen 92 haben Seitenwände mit einem schrägen Winkel im Bereich zwischen etwa 80 Grad und etwa 90 Grad. Die Durchkontaktierungen 92 haben auch obere Breiten Wtop, die größer als die jeweilige untere Breite Wbottom sind. Beispielsweise kann das Verhältnis Wtop/Wbottom im Bereich zwischen etwa 1,2 und etwa 1,5 liegen. Ein solches Profil ist gut für die Spaltfüllung.
  • 19 zeigt eine Querschnittsansicht eines FinFETs in Übereinstimmung mit einigen Ausführungsformen. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung haben die Seitenwände der Kontaktstecker 92, wie in 19 gezeigt, im Wesentlichen gerade und schräge untere Abschnitte und gekrümmte obere Abschnitte und die Linie 93 ist gezeichnet, um das Übergangsniveau zwischen dem oberen Abschnitt und dem unteren Abschnitt zu zeigen. Die oberen Abschnitte der Seitenwände können eine im Wesentlichen abrupte Änderung der Neigung verglichen mit den jeweiligen unteren Abschnitten aufweisen. Die Höhe des Kontaktsteckers 92 ist als H1 gekennzeichnet. Die Höhe des oberen Teils des Kontaktsteckers 92 ist als H2 gekennzeichnet. Die obere Breite und die untere Breite sind als Wtop bzw. Wbottom gekennzeichnet. Die Breite Wbottom wird bei 95% der Tiefe H1 des Kontaktsteckers 92 gemessen. Die Breite des Kontaktsteckers 92 am Übergangspunkt ist Wtran. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung kann das Verhältnis Wtran/Wbottom zwischen etwa 1,2 und etwa 1,5 liegen. Das Verhältnis H2/H1 kann zwischen etwa 0,1 und etwa 0,2 liegen. Der Neigungswinkel α kann zwischen etwa 80 Grad und etwa 90 Grad liegen und kann etwa 85 Grad betragen. Obwohl die Abmessungen und Neigungswinkel der Kontaktstecker 79 nicht im Detail gezeigt sind, können die Kontaktstecker 79 ähnliche Profile aufweisen.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Merkmale. Beim Ätzen von dielektrischen Schichten können Polymere erzeugt werden. Um das durch das Ätzen von dielektrischen Schichten ausgebildete Rückstandspolymer zu entfernen, kann eine saure Lösung (wie H2O2) verwendet werden. Kobalt hat eine gute Säurebeständigkeit. Die saure Lösung verursacht die Korrosion des freiliegenden Metalls. Wenn Wolfram verwendet wird, wird es eher korrodiert. Kobalt ist dagegen widerstandsfähiger gegenüber Korrosion, und das Problem, das durch die Korrosion des Metalls verursacht wird, wie z.B. Metallgate-Verlust, kann verringert werden. Cobalt hat auch eine geringere Rauheit als Wolfram, was es zu einem besseren Material für die Herstellung von qualitativ hochwertigen Filmen macht.
  • Darüber hinaus haben Kobalt- und Metallsilizide aufgrund von Streueffekten in sehr geringen Abmessungen niedrigere spezifische Widerstandswerte als Wolfram. Außerdem hat Wolfram keine gute Haftung gegenüber einigen Barrierematerialien wie TiN. Dementsprechend wurde herkömmlicherweise eine Wolfram-Keimbildungsschicht ausgebildet, gefolgt von dem Abscheiden von Wolfram unter Verwendung von CVD. Die Wolfram-Keimbildungsschicht hat einen spezifischen Widerstand im Bereich zwischen etwa 200 µOhm * cm und etwa 250 µOhm * cm, was viel höher ist als der spezifische Widerstand von CVD-Wolfram (etwa 5,7 µOhm * cm) ist. Dementsprechend verschlechtert der spezifische Widerstand der Wolfram-Keimbildungsschicht die Leistungsfähigkeit des resultierenden Transistors signifikant. Kobalt (oder Metallsilizid) hat andererseits einen sehr niedrigen spezifischen Widerstand (etwa 5,8 µOhm * cm für Kobaltsilizid) und hat eine gute Haftung an TiN. Dementsprechend ist die Haftung an der darunterliegenden Sperrschicht bei Verwendung von Kobalt und/oder Metallsilizid gut und der spezifische Widerstand des Metallgates niedrig.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden eines Transistors, was das Ausbilden eines Gatedielektrikums auf einem Halbleiterbereich, das Ausbilden einer Gateelektrode über dem Gatedielektrikum und das Ausbilden eines Source/Drain-Bereichs umfasst, der sich in den Halbleiterbereich erstreckt. Das Verfahren umfasst ferner das Ausbilden eines Source/Drain-Kontaktsteckers über und in elektrischer Verbindung mit dem Source/Drain-Bereich und das Ausbilden eines Gate-Kontaktsteckers über und in Kontakt mit der Gateelektrode. Das Ausbilden der Gateelektrode, das Ausbilden des Source/Drain-Kontaktsteckers und/oder das Ausbilden des Gate-Kontaktsteckers umfasst das Ausbilden einer Metallnitrid-Sperrschicht und das Abscheiden einer metallhaltigen Schicht über und in Kontakt mit der Metallnitrid-Sperrschicht. Die metallhaltige Schicht umfasst eine Kobaltschicht und/oder eine Metallsilizidschicht.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden eines Transistors, was das Ausbilden eines Dummy-Gatestapels über einem Halbleiterbereich, das Ausbilden eines ILDs, wobei der Dummy-Gatestapel in dem ILD liegt, das Entfernen des Dummy-Gatestapels, um eine Öffnung in dem ILD auszubilden, das Ausbilden eines Ersatz-Gatedielektrikums, das sich in die Öffnung erstreckt, das Ausbilden einer Austrittsarbeits-Metallschicht über dem Ersatz-Gatedielektrikum, das Ausbilden einer Sperrschicht, die Titannitrid aufweist, über dem Ersatz-Gatedielektrikum und das Abscheiden einer kobalthaltigen Schicht umfasst, die sich in die Öffnung erstreckt. Die kobalthaltige Schicht ist über und in Kontakt mit der Sperrschicht angeordnet. Ein Planarisieren wird durchgeführt, um überschüssige Abschnitte des Ersatz-Gatedielektrikums, der Austrittsarbeits-Metallschicht, der Sperrschicht und der kobalthaltigen Schicht zu entfernen, um einen Ersatz-Gatestapel auszubilden. Ein Sourcebereich und ein Drainbereich werden dann auf entgegengesetzten Seiten des Ersatz-Gatestapels ausgebildet.
  • In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung umfasst eine Vorrichtung Gate-Abstandshalter, ein Gatedielektrikum und eine Gateelektrode. Die Gateelektrode umfasst eine erste Metallnitridschicht über dem Gatedielektrikum und eine Austrittsarbeits-Metallschicht über der ersten Metallnitridschicht. Das Gatedielektrikum und die Gateelektrode erstrecken sich zwischen den Gate-Abstandshaltern. Ein Gate-Kontaktstecker ist über und in Kontakt mit der Gateelektrode angeordnet. Ein Source/Drain-Bereich liegt benachbart zu der Gateelektrode. Ein Source/Drain-Kontaktstecker ist über und in elektrischer Verbindung mit dem Source/Drain-Bereich angeordnet. Die Gateelektrode, der Source/Drain-Kontaktstecker und/oder der Gate-Kontaktstecker weisen eine zweite Metallnitridschicht und eine metallhaltige Schicht über und in Kontakt mit der zweiten Metallnitridschicht auf. Die metallhaltige Schicht umfasst eine Kobaltschicht und/oder eine Metallsilizidschicht.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, umfassend: Ausbilden eines Transistors mit folgenden Schritten: Ausbilden eines Gatedielektrikums auf einem Halbleiterbereich; Ausbilden einer Gateelektrode über dem Gatedielektrikum; und Ausbilden eines Source/Drain-Bereichs, der sich in den Halbleiterbereich erstreckt; Ausbilden eines Source/Drain-Kontaktsteckers über und in elektrischer Verbindung mit dem Source/Drain-Bereich; und Ausbilden eines Gate-Kontaktsteckers über und in Kontakt mit der Gateelektrode, wobei das Ausbilden der Gateelektrode, das Ausbilden des Source/Drain-Kontaktsteckers und/oder das Ausbilden des Gate-Kontaktsteckers umfasst: Ausbilden einer Metallnitrid-Sperrschicht; und Abscheiden einer metallhaltigen Schicht über und in Kontakt mit der Metallnitrid-Sperrschicht, wobei die metallhaltige Schicht eine Kobaltschicht und/oder eine Metallsilizidschicht umfasst.
  2. Verfahren nach Anspruch 1, wobei das Ausbilden der Gateelektrode umfasst: Abscheiden einer titannitridhaltigen Schicht; Abscheiden einer Austrittsarbeitsschicht über der titannitridhaltigen Schicht, wobei die Metallnitrid-Sperrschicht über der Austrittsarbeitsschicht liegt; und Durchführen eines Planarisierens, um überschüssige Abschnitte der titannitridhaltigen Schicht und der Austrittsarbeitsschicht zu entfernen.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Ausbilden des Source/Drain-Kontaktsteckers umfasst: Ätzen eines Zwischenschicht-Dielektrikums, um eine Source/Drain-Kontaktöffnung auszubilden, wobei der Source/Drain-Bereich der Source/Drain-Kontaktöffnung ausgesetzt ist; Abscheiden einer Metallschicht mit einem Abschnitt, der sich in die Source/Drain-Kontaktöffnung erstreckt, wobei die Metallnitrid-Sperrschicht über der Metallschicht abgeschieden wird; Durchführen eines Glühens, um ein Source/Drain-Silizid auszubilden; und Durchführen eines Planarisierens, um überschüssige Abschnitte der Metallschicht und der Metallnitrid-Sperrschicht zu entfernen.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden des Gate-Kontaktsteckers umfasst: Entfernen einer Hartmaske zwischen entgegengesetzten Abschnitten von Gate-Abstandshalter, wobei die Metallnitrid-Sperrschicht und die metallhaltige Schicht sich in eine Öffnung erstrecken, die durch die entfernte Hartmaske übriggeblieben ist; und Durchführen eines Planarisierens, um überschüssige Abschnitte der Metallnitrid-Sperrschicht und der metallhaltigen Schicht zu entfernen.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Abscheiden der metallhaltigen Schicht umfasst: Abscheiden einer Metallsilizidschicht; und Abscheiden einer Kobaltschicht, die im Wesentlichen frei von Silizium ist, über der Metallsilizidschicht.
  6. Verfahren nach Anspruch 5, wobei obere Abschnitte der Metallsilizidschicht einen zunehmend niedrigeren Siliziumgehalt als jeweilige untere Abschnitte der Metallsilizidschicht aufweisen.
  7. Verfahren nach einem der vorhergehenden Ansprüche, bei dem eine Gesamtheit der metallhaltigen Schicht aus Kobalt mit einem einheitlichen spezifischen Widerstand besteht und die metallhaltige Schicht im Wesentlichen frei von anderen Elementen als Kobalt ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Gesamtheit der metallhaltigen Schicht aus der Metallsilizidschicht mit einem einheitlichen spezifischen Widerstand besteht.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Abscheiden der metallhaltigen Schicht das Abscheiden der Metallsilizidschicht umfasst und, wenn die Metallsilizidschicht abgeschieden wird, eine Temperatur eines jeweiligen Wafers verändert wird.
  10. Verfahren, umfassend: Ausbilden eines Dummy-Gatestapels über einen Halbleiterbereich; Ausbilden eines Zwischenschicht-Dielektrikums (ILD), wobei der Dummy-Gatestapel in dem ILD liegt; Entfernen des Dummy-Gatestapels, um eine Öffnung in dem ILD auszubilden; Ausbilden eines Ersatz-Gatedielektrikums, das sich in die Öffnung erstreckt; Ausbilden einer Austrittsarbeits-Metallschicht über dem Ersatz-Gatedielektrikum; Ausbilden einer Sperrschicht, die Titannitrid aufweist, über dem Ersatz-Gatedielektrikum; Abscheiden einer kobalthaltigen Schicht, die sich in die Öffnung erstreckt, wobei die kobalthaltige Schicht über und in Kontakt mit der Sperrschicht angeordnet ist; Durchführen eines Planarisierens, um überschüssige Abschnitte des Ersatz-Gatedielektrikums, der Austrittsarbeits-Metallschicht, der Sperrschicht und der kobalthaltigen Schicht zu entfernen, um einen Ersatz-Gatestapel auszubilden; und Ausbilden eines Sourcebereichs und eines Drainbereichs, wobei der Sourcebereich und der Drainbereich auf entgegengesetzten Seiten des Ersatz-Gatestapels liegen.
  11. Verfahren nach Anspruch 10, wobei die kobalthaltige Schicht Kobalt umfasst, das im Wesentlichen frei von anderen Elementen als Kobalt ist.
  12. Verfahren nach Anspruch 10 oder 11, wobei die kobalthaltige Schicht eine Kobaltsilizidschicht umfasst.
  13. Verfahren nach Anspruch 12, wobei die kobalthaltige Schicht ferner eine Kobaltschicht, die frei von Silizium ist, über der Kobaltsilizidschicht umfasst.
  14. Verfahren nach Anspruch 13, wobei die Kobaltsilizidschicht und die Kobaltschicht unter Verwendung von gleichen Vorläufern ausgebildet werden, wobei die Kobaltschicht bei einer niedrigeren Temperatur als die für das Abscheiden der Kobaltsilizidschicht verwendeten Temperaturen abgeschieden wird.
  15. Verfahren nach Anspruch 12, 13 oder 14, wobei das Abscheiden der kobalthaltigen Schicht mit kontinuierlich veränderten Temperaturen durchgeführt wird und die Kobaltsilizidschicht einen sich kontinuierlich ändernden Siliziumgehalt hat.
  16. Vorrichtung, umfassend: Gate-Abstandshalter, ein Gatedielektrikum, das sich in einen Raum zwischen den Gate-Abstandshaltern erstreckt; eine Gateelektrode, umfassend: eine erste Metallnitridschicht über dem Gatedielektrikum; und eine Austrittsarbeits-Metallschicht über der ersten Metallnitridschicht, wobei die erste Metallnitridschicht und die Austrittsarbeits-Metallschicht sich zwischen den Gate-Abstandshaltern erstrecken; einen Gate-Kontaktstecker über und in Kontakt mit der Gateelektrode; und einen Source/Drain-Bereich benachbart zu der Gateelektrode; und einen Source/Drain-Kontaktstecker über und in elektrischer Verbindung mit dem Source/Drain-Bereich, wobei die Gateelektrode, der Source/Drain-Kontaktstecker und/oder der Gate-Kontaktstecker Folgendes umfassen: eine zweite Metallnitridschicht; und eine metallhaltige Schicht über und in Kontakt mit der zweiten Metallnitridschicht, wobei die metallhaltige Schicht eine Kobaltschicht und/oder eine Metallsilizidschicht umfasst.
  17. Vorrichtung nach Anspruch 16, wobei eine Gesamtheit der metallhaltigen Schicht aus Kobalt besteht und einen einheitlichen spezifischen Widerstand aufweist und die metallhaltige Schicht frei von anderen Elementen als Kobalt ist.
  18. Vorrichtung nach Anspruch 16 oder 17, wobei eine Gesamtheit der metallhaltigen Schicht aus Kobaltsilizid besteht.
  19. Vorrichtung nach einem der vorhergehenden Ansprüche 16 bis 18, wobei die metallhaltige Schicht umfasst: eine Metallsilizidschicht; und eine Kobaltschicht, die im Wesentlichen frei von Silizium ist, über der Metallsilizidschicht.
  20. Vorrichtung nach Anspruch 19, wobei die Metallsilizidschicht Kobaltsilizid umfasst und obere Abschnitte des Kobaltsilizids einen zunehmend niedrigeren Kobaltgehalt als entsprechende untere Abschnitte des Kobaltsilizids aufweisen.
DE102017113479.4A 2017-04-28 2017-06-20 Metallgates von transistoren mit verringertem widerstand Pending DE102017113479A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491823P 2017-04-28 2017-04-28
US62/491,823 2017-04-28
US15/613,485 2017-06-05
US15/613,485 US10141225B2 (en) 2017-04-28 2017-06-05 Metal gates of transistors having reduced resistivity

Publications (1)

Publication Number Publication Date
DE102017113479A1 true DE102017113479A1 (de) 2018-10-31

Family

ID=63797574

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017113479.4A Pending DE102017113479A1 (de) 2017-04-28 2017-06-20 Metallgates von transistoren mit verringertem widerstand

Country Status (5)

Country Link
US (6) US10141225B2 (de)
KR (1) KR102001302B1 (de)
CN (1) CN108807160B (de)
DE (1) DE102017113479A1 (de)
TW (1) TWI677924B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019127213A1 (de) * 2019-09-16 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-Widerstandsreduktion durch leitfähige Schicht mit niedriger Resistivität

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10490458B2 (en) 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10727065B2 (en) * 2017-11-28 2020-07-28 Taiwan Semiconductor Manufactruing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자
US10755917B2 (en) * 2018-06-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment for adhesion improvement
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
CN109461651A (zh) * 2018-11-05 2019-03-12 武汉新芯集成电路制造有限公司 改善硅化物阻挡层刻蚀缺陷的方法
US11094795B2 (en) 2018-11-20 2021-08-17 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
KR102491555B1 (ko) 2018-11-30 2023-01-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11183431B2 (en) * 2019-09-05 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11502185B2 (en) * 2019-11-26 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a gate electrode having metal layers with different average grain sizes
KR20210088827A (ko) 2020-01-07 2021-07-15 삼성전자주식회사 반도체 장치
KR20210090768A (ko) * 2020-01-10 2021-07-21 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11532509B2 (en) * 2020-01-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Selective hybrid capping layer for metal gates of transistors
US11361986B2 (en) * 2020-03-04 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Using a liner layer to enlarge process window for a contact via
US11295989B2 (en) * 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN113809083A (zh) * 2020-06-11 2021-12-17 联华电子股份有限公司 静态随机存取存储器及其制作方法
CN113937162A (zh) * 2020-06-29 2022-01-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN114068395B (zh) * 2020-07-31 2024-03-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11594610B2 (en) * 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11908944B2 (en) 2021-09-16 2024-02-20 International Business Machines Corporation Contact formation for vertical field effect transistors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140120711A1 (en) * 2012-10-26 2014-05-01 United Microelectronics Corp. Method of forming metal gate
WO2014105477A1 (en) * 2012-12-28 2014-07-03 Intel Corporation Cobalt based interconnects and methods of fabrication thereof

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1098366A1 (de) 1994-12-29 2001-05-09 STMicroelectronics, Inc. Halbleiterverbindungsstruktur und Verfahren
KR0172524B1 (ko) 1995-12-29 1999-03-30 김주용 반도체 소자의 게이트 전극 형성방법
US5998873A (en) * 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
KR100727449B1 (ko) 2000-09-25 2007-06-13 하이닉스 세미컨덕터 매뉴팩쳐링 아메리카 인코포레이티드 고도전성 게이트, 로컬 인터커넥트 또는 커패시터 노드를 갖는 집적 장치
JP4441726B2 (ja) 2003-01-24 2010-03-31 石原薬品株式会社 スズ又はスズ合金の脂肪族スルホン酸メッキ浴の製造方法
JP2006066514A (ja) 2004-08-25 2006-03-09 Seiko Epson Corp 強誘電体メモリ及びその製造方法
US7189650B2 (en) 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition
JP5211503B2 (ja) 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102024744B (zh) 2009-09-16 2013-02-06 中国科学院微电子研究所 半导体器件及其制造方法
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
CN102939408B (zh) 2010-06-11 2015-12-02 埃其玛公司 铜电镀组合物和使用该组合物填充半导体衬底中的空腔的方法
US8749067B2 (en) * 2010-08-18 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
US20120061698A1 (en) 2010-09-10 2012-03-15 Toscano Lenora M Method for Treating Metal Surfaces
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8741717B2 (en) * 2012-07-02 2014-06-03 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved metal gate structures
US8492228B1 (en) 2012-07-12 2013-07-23 International Business Machines Corporation Field effect transistor devices having thick gate dielectric layers and thin gate dielectric layers
US9136206B2 (en) 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US20140117550A1 (en) 2012-10-29 2014-05-01 International Business Machines Corporation Semiconductor device including an insulating layer, and method of forming the semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US20140220777A1 (en) 2013-02-05 2014-08-07 International Business Machines Corporation Processing system for combined metal deposition and reflow anneal for forming interconnect structures
US8836129B1 (en) 2013-03-14 2014-09-16 United Microelectronics Corp. Plug structure
US9209272B2 (en) * 2013-09-11 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation and etching post metal gate CMP
US9153483B2 (en) 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9147767B2 (en) 2014-02-07 2015-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9171758B2 (en) 2014-03-31 2015-10-27 International Business Machines Corporation Method of forming transistor contacts
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
KR102171023B1 (ko) 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
CN105280486B (zh) 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9685340B2 (en) 2015-06-29 2017-06-20 International Business Machines Corporation Stable contact on one-sided gate tie-down structure
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US9780199B2 (en) 2015-09-23 2017-10-03 United Microelectronics Corp. Method for forming semiconductor device
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9496362B1 (en) 2016-01-04 2016-11-15 International Business Machines Corporation Contact first replacement metal gate
US9741812B1 (en) 2016-02-24 2017-08-22 International Business Machines Corporation Dual metal interconnect structure
US10079290B2 (en) 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140120711A1 (en) * 2012-10-26 2014-05-01 United Microelectronics Corp. Method of forming metal gate
WO2014105477A1 (en) * 2012-12-28 2014-07-03 Intel Corporation Cobalt based interconnects and methods of fabrication thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019127213A1 (de) * 2019-09-16 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-Widerstandsreduktion durch leitfähige Schicht mit niedriger Resistivität
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US11916146B2 (en) 2019-09-16 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer

Also Published As

Publication number Publication date
US11810819B2 (en) 2023-11-07
US20240021473A1 (en) 2024-01-18
US20180315652A1 (en) 2018-11-01
US10141225B2 (en) 2018-11-27
US11430694B2 (en) 2022-08-30
TWI677924B (zh) 2019-11-21
US20190103311A1 (en) 2019-04-04
US20200118873A1 (en) 2020-04-16
TW201839858A (zh) 2018-11-01
KR20180121314A (ko) 2018-11-07
CN108807160B (zh) 2021-03-16
US10510596B2 (en) 2019-12-17
US10825727B2 (en) 2020-11-03
KR102001302B1 (ko) 2019-07-17
CN108807160A (zh) 2018-11-13
US20210050256A1 (en) 2021-02-18
US20210280464A1 (en) 2021-09-09

Similar Documents

Publication Publication Date Title
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102017110441B3 (de) Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102015112259B4 (de) Metall-Gate mit Seitenwandabstandhaltern aus Silizium und Verfahren zu dessen Herstellung
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102015100963B4 (de) Verfahren mit selektivem Wachstum für Metallfüllung mit hohem Seitenverhältnis
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102019200725B4 (de) FinFET mit High-k-Abstandshalter und selbstausgerichteter Kontaktdeckschicht
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102017112815A1 (de) Selbstausgerichtete Gate-Hartmaske und Ausbildungsverfahren dafür
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102019218267A1 (de) Verfahren zur Herstellung von Luftspaltabstandhaltern und einem Gate-Kontakt über einem aktiven Bereich und resultierende Vorrichtung
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019121152A1 (de) Sperrfreier ansatz zur bildung von kontaktstiften
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102020131319A1 (de) Ausbildung einer zusammengesetzten Austrittsarbeitsschicht unter Verwendung des gleichen Austrittsarbeitsmaterials
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102020121101A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021283000

R016 Response to examination communication
R016 Response to examination communication