DE102015120483A1 - Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung - Google Patents

Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung Download PDF

Info

Publication number
DE102015120483A1
DE102015120483A1 DE102015120483.5A DE102015120483A DE102015120483A1 DE 102015120483 A1 DE102015120483 A1 DE 102015120483A1 DE 102015120483 A DE102015120483 A DE 102015120483A DE 102015120483 A1 DE102015120483 A1 DE 102015120483A1
Authority
DE
Germany
Prior art keywords
gate
contact
dielectric layer
gate electrode
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102015120483.5A
Other languages
English (en)
Inventor
John H. Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
STMicroelectronics lnc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics lnc USA filed Critical STMicroelectronics lnc USA
Publication of DE102015120483A1 publication Critical patent/DE102015120483A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine integrierte Schaltung enthält eine Source-Drain-Region, eine Kanalregion, welche der Source-Drain-Region benachbart ist, eine Gate-Struktur, welche sich über die Kanalregion erstreckt und einen Seitenwand-Abstandshalter auf einer Seite der Gate-Struktur, welcher sich über die Source-Drain-Region erstreckt. Es ist eine Dielektrikumsschicht vorgesehen, welche mit dem Seitenwand-Abstandshalter in Kontakt steht und eine obere Fläche aufweist. Die Gate-Struktur enthält eine Gate-Elektrode und einen Gate-Kontakt, welcher sich von der Gate-Elektrode als Vorsprung erstreckt, um die obere Fläche zu erreichen. Die Seitenflächen der Gate-Elektrode und ein Gate-Kontakt fluchten miteinander. Die Gate-Dielektrikumsschicht für den Transistor, welche zwischen der Gate-Elektrode und der Kanalregion liegt, erstreckt sich zwischen der Gate-Elektrode und dem Seitenwand-Abstandshalter und außerdem zwischen dem Gate-Kontakt und dem Seitenwand-Abstandshalter.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung bezieht sich auf integrierte Schaltungen und insbesondere auf die Bildung von metallgefüllten Kontakten bei den Vormetallisierungs-Dielektrikums(PMD)-Schichten oder Zwischenlevel-Dielektrikums(ILD)-Schichten einer integrierten Schaltung mit dem Zwecke eines Anschlusses an ein Gate und Source-und-Drain-Regionen eines Transistors.
  • HINTERGRUND
  • Bezug wird nun auf die 1A und 1B genommen, welche die allgemeine Ausbildung einer herkömmlichen Metall-Oxid-Halbleiter(MOS)-Feldeffekttransistor(FET)-Vorrichtung 10 zeigt. Die 1A und 1B sind parallele Querschnitte an verschiedenen Orten entlang der Breite des Transistorgates in einer zu der Gate-Breite senkrechten Richtung. Ein Substrat 12 stützt den Transistor. In diesem Beispiel ist das Substrat 12 vom Typ Silikon-auf-Isolator, welches eine Substratschicht 14, eine Buried-Oxid(BOX)-Schicht 16 und eine Halbleiterschicht 18 enthält. Eine aktive Region 20 für die Transistorvorrichtung ist durch eine peripher umgebende flache Grabenisolation 22 begrenzt, welche die Schicht 18 durchdringt. Innerhalb der aktiven Region 20 ist die Schicht 18 in mehrere Kanalregionen 30 geteilt, welche mit einem ersten leitfähigen Dotierstoff dotiert worden sind, mehrere Source-Regionen 32 (von denen jede einer Kanalregion 30 auf einer Seite benachbart ist), welche mit einem zweiten Dotierstoff dotiert worden sind, und eine Vielzahl an Drain-Regionen 34 (von welchen jede einer Kanalregion 30 auf einer gegenüberliegenden Seite von der Source-Region 32 benachbart ist), welche ebenfalls mit dem zweiten leitfähigen Dotierstoff versehen worden sind. Während die MOSFET-Vorrichtung 10 vom Typ p-Kanal ist, ist der erste leitfähige Dotierstoff ein p-Typ und der zweite Leitungstyp ein n-Typ. Wenn umgekehrt die MOSFET-Vorrichtung vom Typ n-Kanal ist, ist der erste leitfähige Dotierstoff vom n-Typ und der zweite Leitungstyp ein p-Typ. Mehrere Gate-Stapel 36 sind oberhalb der Kanalregion 30 vorgesehen. Jeder Gate-Stapel 36 umfasst typischerweise ein Gate-Dielektrikum 38, eine Gate-Elektrode 40 (zum Beispiel aus Metall- und/oder Polysilizium-Material) und Seitenwandabstandshalter 42, welche aus einem Isoliermaterial wie Siliziumnitrid (SiN) hergestellt sind, welche an den Seiten des Gate-Dielektrikums 38 und der Gate-Elektrode 40 und auf der Gate-Elektrode abgeschieden sind. Eine Zwischenlevel-Dielektrikumsschicht (ILD) oder Vormetallisierungs-Dielektrikumsschicht (PMD) 46 ist oberhalb des Substrats und des Gate-Stapels vorgesehen. Eine obere Fläche 48 der Schicht 46 ist mit einem chemisch-mechanischen Schleifprozess (CMP) bearbeitet, um eine ebene Fläche zu bestimmen. Ein Satz Metallkontakte 50, welche typischerweise aus Wolfram gebildet sind, erstrecken sich von der oberen Fläche 48 durch die ILD/PMD-Schicht 46 in metallgefüllte Kontaktöffnungen, um einen elektrischen Kontakt mit der Source-Region 32 und der Drain-Region 34 (im Querschnitt in 1A gezeigt) und der Gate-Elektrode 40 (im Querschnitt in 1B gezeigt) herzustellen. Eine erste Metallisierungsschicht M1 wird dann oberhalb der ILD/PMD-Schicht 46 vorgesehen, wobei die erste Metallisierungsschicht M1 Metallleitungen 54 umfasst, welche in metallgefüllten Durchkontaktierungs- und/oder Grabenöffnungen gebildet sind, welche mit den Kontakten 50 in Kontakt stehen und von einer flachen Schicht 56 aus dielektrischem Material umgeben sind.
  • Da Elementgrößen bei integrierten Schaltungsvorrichtungen weiterhin abnehmen, wird es komplizierter und schwierig, Source-, Drain- und Gate-Kontakte bei einer Middle-of-Line(MOL)-Vernetzung bereitzustellen. Dafür gibt es viele Gründe. Beispielsweise kann es sein, dass der Gate-Kontakt von dem aktiven Bereich 22 wegbewegt werden muss (beispielsweise über die periphere Isolation 22, wie in 1B gezeigt), um eine Kürzung zwischen dem Gate-Kontakt und dem Grabensilizid der Source-Drain-Regionen zu verhindern. Dies ist ein Nachteil, da es zu einer Vergrößerung im Chip-Bereich führt. Um dieses Problem zu lösen, bewegen sich die Designer von integrierten Schaltungen zu einer verschmolzenen Lamellenstruktur und geteilten Source-Drain-Strukturen hin. Es gibt jedoch einen bekannten Nachteil bei dieser Technologie aufgrund eines erhöhten Kontaktwiderstands an den Source-Drain-Regionen aufgrund eines verringerten Kontaktbereichs (wie allgemein in 1A bei dem Bezugszeichen 60 gezeigt). Eine falsche Ausrichtung des Gates und des Gate-Kontakts ist ein weiteres Problem (siehe 1B bei dem Bezugszeichen 62), und dies kann zu Problemen bezüglich des Kürzens des Gates auf den Source-Drain-Kontakt führen.
  • Dementsprechend gibt es ein Bedürfnis in der Technik nach einer verbesserten MOL-Vernetzung zu Source-, Drain- und Gate-Regionen einer integrierten Transistor-Schaltung.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Die vorherigen und weiteren Probleme werden durch eine MOL-Verknüpfung gelöst, wobei Folgendes verwendet wird: eine Bottom-up-Formation des Gate-Kontakts, um eine falsche Ausrichtung zwischen dem Gate und dem Gate-Kontakt zu verhindern, und eine Top-down-Formation von Source-Drain-Kontakten mit ausreichenden Größen, um den Kontaktwiderstand zu verringern und Kürzungsprobleme zu verhindern. Die Gate-Kontakt-Durchschaltungen sind vorzugsweise durch High-K- und Low-K-Materialien geschützt, um die Zuverlässigkeit für Integrationen mit hoher Dichte zu verbessern.
  • In einer Ausführungsform umfasst eine integrierte Schaltung: eine Source-Drain-Region; eine Kanalregion, welche der Source-Drain-Region benachbart ist; eine Gate-Struktur, welche sich über die Kanalregion erstreckt; einen Seitenwand-Abstandshalter auf einer Seite der Gate-Struktur, welcher sich über die Source-Drain-Region erstreckt; und eine Dielektrikumsschicht, welche mit dem Seitenwand-Abstandshalter in Kontakt steht und eine obere Fläche aufweist. Die Gate-Struktur umfasst: eine Gate-Elektrode; einen Gate-Kontakt, welcher sich von der Gate-Elektrode zu der oberen Fläche erstreckt; und eine Gate-Dielektrikumsschicht zwischen der Gate-Elektrode und der Kanalregion, welche sich zwischen der Gate-Elektrode und dem Seitenwand-Abstandshalter erstreckt und sich außerdem zwischen dem Gate-Kontakt und dem Seitenwand-Abstandshalter erstreckt.
  • In einer Ausführungsform umfasst eine integrierte Schaltung: eine Source-Drain-Region; eine Kanalregion, welche zu der Source-Drain-Region benachbart ist; eine Gate-Struktur, welche sich über die Kanalregion erstreckt; einen Seitenwand-Abstandshalter auf einer Seite der Gate-Struktur, welcher sich über die Source-Drain-Region erstreckt; und eine Dielektrikumsschicht, welche mit dem Seitenwand-Abstandshalter in Kontakt steht und eine obere Fläche aufweist. Die Gate-Struktur umfasst: eine Gate-Elektrode; und einen Gate-Kontakt, welcher sich von der Gate-Elektrode zu der oberen Fläche erstreckt; wobei eine Seitenfläche der Gate-Elektrode und eine Seitenfläche des Gate-Kontakts miteinander fluchten und sich parallel zu einer inneren Fläche des Seitenwand-Abstandshalters erstrecken.
  • In einer Ausführung umfasst ein Verfahren folgende Schritte: Bilden einer Dummy-Gate-Struktur, welche sich über eine Kanalregion erstreckt, wobei die Dummy-Gate-Struktur eine Dummy-Gate-Elektrode und Seitenwand-Abstandshalter auf jeder Seite der Dummy-Gate-Elektrode umfasst, welche sich über eine Source-Drain-Region erstrecken, welche der Kanalregion benachbart ist; Entfernen der Dummy-Gate-Elektrode, um eine Öffnung zwischen den Seitenwand-Abstandshaltern zu bilden; Bilden eines Ersatz-Metall-Gates innerhalb der Öffnung, wobei das Ersatz-Metall-Gate eine dielektrische Beschichtung und einen Metallabschnitt umfasst; Blockmaskieren eines Abschnitts des Ersatz-Metall-Gates, wo ein Gate-Kontakt erwünscht ist; Aussparen des Ersatz-Metall-Gates außer an dem Abschnitt, welcher blockmaskiert ist, zur Bildung einer Gate-Elektrode, wo das Ersatz-Metall-Gate ausgespart ist, und des Gate-Kontakts, wo das Ersatz-Metall-Gate blockmaskiert ist; und Bereitstellen einer Dielektrikumsschicht.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Für ein besseres Verständnis der Ausführungsformen wird nun beispielhaft lediglich auf die beigefügten Figuren Bezug genommen, in welchen:
  • Die 1A und 1B die Ausbildung einer MOSFET-Vorrichtung aus dem bisherigen Stand der Technik darstellen; und
  • die 224 Verfahrensschritte für die Herstellung von Kontakten darstellen.
  • Die bereitgestellten Darstellungen sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • AUSFÜHRLICHE BESCHREIBUNG DER ZEICHNUNGEN
  • Bezug genommen wird nun auf die 224, welche Verfahrensschritte für die Herstellung von Kontakten darstellen.
  • Unter Bezugnahme auf 2 enthält ein Substrat 112 einen aktiven Bereich 120, welcher durch eine peripher umgebende flache Grabenisolation 122 begrenzt ist. Das Substrat 112 kann zum Beispiel von dem Typ Silikon-auf-Isolator (SOI) sein, einschließlich einer Substratschicht 114, einer Buried-Oxid(BOX)-Schicht 116 und einer Halbleiterschicht 118. Innerhalb des aktiven Bereichs 120 ist die Schicht 118 in mehrere Kanalregionen 130 unterteilt, welche mit einem ersten leitfähigen Dotierstoff versehen worden sind, in mehrere Source-Regionen 132 (von welchen jede einer Kanalregion 130 auf einer Seite benachbart ist), welche mit einem zweiten leitfähigen Dotierstoff dotiert worden sind, und in mehrere Drain-Regionen 134 (von welchen jede einer Kanalregion 130 auf einer gegenüberliegenden Seite von der Source-Region 132 benachbart ist), welche ebenfalls mit dem zweiten leitfähigen Dotierstoff dotiert worden sind. In Verbindung mit der Bildung eines Transistors vom Typ p-Kanal ist der erste leitfähige Dotierstoff ein p-Typ und der zweite Leitfähigkeitstyp ein n-Typ. Umgekehrt ist in Verbindung mit der Bildung eines Transistors vom Typ n-Kanal der erste leitfähige Dotierstoff ein n-Typ und der zweite Leitfähigkeitstyp ein p-Typ.
  • Mehrere Dummy-Gate-Stapel 136 sind oberhalb der Kanalregionen 130 vorgesehen. Jeder Dummy-Gate-Stapel 136 umfasst typischerweise eine Opfer-Polysilizium-Gate-Elektrode 140 und Seitenwand-Abstandshalter 142, welche aus einem Isoliermaterial wie Siliziumnitrid (SiN) hergestellt sind, welche auf den Seiten der Opfer-Gate-Elektrode 140 abgeschieden sind. Die Opfer-Polysilizium-Gate-Elektrode 140 kann zum Beispiel eine Länge von 5–30 nm (mit einer beliebigen Breite gemäß der Anwendung, zum Beispiel 10–100 nm) aufweisen und die Seitenwand-Abstandshalter 142 können zum Beispiel eine Dicke von 4–20 nm aufweisen. Die Steigung des Dummy-Gate-Stapels 136 kann 40–50 nm umfassen. Eine Isolierschicht 146 ist oberhalb des Substrats auf jeder Seite des Dummy-Gate-Stapels 136 vorgesehen. Eine obere Fläche 148 der Schicht 146 ist mit einem chemisch-mechanischen Polier(CMP)-Prozess bearbeitet, um eine ebene Fläche zu beschreiben, welche die obere Fläche 147 der Opfer-Polysilizium-Gate-Elektrode 140 freilegt. Dies wird in der Technik als poly-offenes chemisch-mechanisches Polieren (POC) bezeichnet. Eine Höhe h der Opfer-Polysilizium-Gate-Elektrode 140 (und somit auch die Höhen der Schicht 146 und der Seitenwand-Abstandshalter 142) wird derart ausgewählt, dass sie im Wesentlichen einer gewünschten Höhe einer Zwischenlevel-Dielektrikums (ILD) oder Vormetallisierungs-Dielektrikums(PMD)-Region der integrierten Schaltung entspricht. Die Höhe h kann zum Beispiel 120–140 nm betragen.
  • In einer FinFET-Ausführungsform ist die Halbleiterschicht 118 derart strukturiert, dass sie mehrere parallele Lamellen bildet, wobei jede Lamelle Source-, Kanal- und Drain-Regionen enthält. Jede Lamelle kann eine Höhe von 10–30 nm und eine Breite von 6–10 nm aufweisen, mit einer Steigung zwischen jeder der parallelen Lamellen von 25–40 nm. In dieser Ausbildung erstrecken sich die mehreren Dummy-Gate-Stapel 136 senkrecht zu der Länge der Lamellen in einer in der Technik bekannten Ausbildung, welche jede Lamelle auf drei Seiten überspannt. Der Querschnitt von 2 würde somit einen Querschnitt entlang der Länge von lediglich einer der mehreren Lamellen darstellen, wobei jede der anderen Lamellen eine ähnliche Querschnittsausbildung aufweist.
  • Anschließend wird ein Ätzvorgang (zum Beispiel ein Ätzen an einer trockenen Aussparung von 30 nm (20 s) + DHF (45 s) + SC1 (300 s) + heißem NH4OH bei 65oC) durchgeführt, um die Opfer-Polysilizium-Gate-Elektrode 140 selektiv zu entfernen und Öffnungen 146 zwischen den Seitenwand-Abstandshaltern 142 zu lassen. Das Ergebnis ist in 3 gezeigt.
  • Im Anschluss wird ein konformes Abscheiden eines High-K-Dielektrikumsmaterials innerhalb der Öffnungen 146 durchgeführt, um eine dielektrische Beschichtung 150 zu bilden. Das High-K-Dielektrikumsmaterial kann zum Beispiel Hafniumoxid (HfO2) umfassen, welches unter Verwendung eines Atomschichtabscheidungsprozesses mit einer Dicke von 2–10 nm abgeschieden wird. Die Beschichtung 150 wird an der Unterseite der Öffnung 146 in Kontakt mit der Gate-Region 130 abgeschieden, um das Gate-Dielektrikum des Transistors zu bestimmen. Ein konformes Abscheiden eines Metallmaterials wird dann innerhalb der Öffnungen 146 durchgeführt, um eine Metallbeschichtung 152 zu bilden. Das Metallmaterial kann zum Beispiel TiN/TiC umfassen, welches unter Verwendung eines Atomschichtabscheidungsprozesses mit einer Dicke von 2–8 nm abgeschieden wird. Diese Metallbeschichtung 152 kann zum Beispiel als Barriereschicht wirken. Ein konformes Abscheiden eines Austrittsarbeitsmaterials wird dann innerhalb der Öffnungen 146 durchgeführt, um eine Austrittsarbeitsschicht 154 zu bilden. Das Austrittsarbeitsmaterial kann zum Beispiel eine Mehrschicht aus TiN, TiC und TiN umfassen, welche unter Verwendung eines Atomschichtabscheidungsprozesses mit einer Gesamtdicke von 5–10 nm abgeschieden wird (zum Beispiel 1 nm TiN, 3 nm TiC und 1 nm TiN). Das Austrittsarbeitsmaterial ist vorgesehen, um den Betriebsschwellenwert des Transistors zu steuern. Der verbleibende, nicht besetzte Abschnitt jeder Öffnung 146 wird dann mit einem Metallfüllungsmaterial gefüllt. Die Metallfüllung kann zum Beispiel Wolfram umfassen, welches unter Verwendung eines thermo-chemischen Gasphasenabscheidungsprozesses abgeschieden wird. Die Metallfüllung bildet die Gate-Elektrode des Transistors. Ein chemisch-mechanischer Polier(CMP)-Prozess wird zum Entfernen von überschüssigen Abschnitten der Beschichtungen 150 und 152, der Schicht 154 und der Füllung 156 verwendet. Der vorhergehende Prozess ist analog zu dem in der Technik bekannten Ersatz-Metall-Gate-Prozess, und somit wird auf die Beschichtungen 150 und 152, die Schicht 154 und die Füllung 156 gemeinsam als Ersatz-Gate-Struktur 158 Bezug genommen. Das Ergebnis ist in 4 gezeigt.
  • Eine Blockiermaske 160 wird dann über einem Abschnitt der Ersatz-Gate-Struktur 158 gebildet, wo ein Gate-Kontakt erwünscht ist. Das Ergebnis ist in 5 gezeigt (welche ein orthogonaler Querschnitt der 4 und 6 ist, wobei 6 ein paralleler Querschnitt zu 4 ist). Ein beliebiger Abscheidungs- und litographischer Strukturierungsprozess, welcher in der Technik bekannt ist, kann verwendet werden, um die Blockiermaske 160 zu bilden. Die Abmessungen der Blockiermaske können zum Beispiel folgende Maße aufweisen: Länge 5–30 nm und Breite 10–30 nm.
  • Ein selektives reaktives Ionenätzen (RIE, Reactive Ion Etch) wird dann durchgeführt, um die Beschichtungen 150 und 152, die Schicht 154 und die Füllung 156 der Ersatz-Gate-Struktur 158 auszusparen, welche nicht durch die Blockiermaske 160 geschützt sind. Dieses Ätzen greift das Material der Seitenwand-Abstandshalter 142 oder der Schicht 146 nicht an. Das Ergebnis ist in den 79 gezeigt, um Öffnungen 166 zwischen den Seitenwand-Abstandshaltern 142 herzustellen und einen Abschnitt der Ersatz-Gate-Struktur 158 unter der Blockiermaske 160 zu lassen, um einen Gate-Elektroden-Kontakt 170 mit dem ausgesparten Abschnitt der Ersatz-Gate-Struktur 158 unter Bildung eines Ersatz-Metall-Gates 172 bereitzustellen.
  • Unter besonderer Bezugnahme auf 9 ist darauf hinzuweisen, dass die Seitenwände des Gate-Elektroden-Kontakt-Abschnitts 170 der Ersatz-Gate-Struktur 158 und die Seitenwände des Ersatz-Metall-Gate-Abschnitts 172 der Ersatz-Gate-Struktur 158 miteinander fluchten und durch die innere Fläche der Seitenwand-Abstandshalter 142 für die gesamte Höhe h (d. h. die äußere Fläche der Ersatz-Gate-Struktur 158 und die innere Fläche des Seitenwand-Abstandshalters 142 sind benachbart und parallel) beschrieben sind. Der Gate-Elektroden-Kontakt-Abschnitt 170 ist somit mit dem Ersatz-Metall-Gate-Abschnitt 172 zumindest in dem Querschnitt von 9 selbstausgerichtet. Dies ist darauf zurückzuführen, dass der Gate-Elektroden-Kontakt 170 bottom-up hergestellt wird, indem jede Öffnung 146 wie zuvor beschrieben gefüllt wird. Es ist außerdem darauf hinzuweisen, dass die High-K-Dielektrikumsschicht 150 (welche auch das Gate-Dielektrikum zwischen dem Ersatz-Metall-Gate 172 und der Kanalregion ist) vorgesehen ist, um sich zwischen den Metallabschnitten des Ersatz-Metall-Gates 172 und den Seitenwand-Abstandshaltern 142 und außerdem zwischen den Metallabschnitten des Gate-Elektroden-Kontakts 170 und den Seitenwand-Abstandshaltern 142 zu erstrecken.
  • Im Anschluss wird ein Abscheiden eines isolierenden Füllmaterials durchgeführt, um die Öffnungen 166 zu füllen und eine Kappe 176 und eine darüber liegende Schicht 178 zu bilden. Das isolierende Füllmaterial kann zum Beispiel Siliziumnitrid (SiN) oder ein Low-K-Dielektrikumsmaterial (wie SiOCN oder SiBCN) umfassen. Ein chemisch-mechanischer Polier(CMP)-Prozess wird verwendet, um überschüssige Abschnitte des isolierenden Füllmaterials zu entfernen, wobei das Polieren an der oberen Seite der Blockiermaske 160 endet. Das Ergebnis ist in den 1011 gezeigt. Mit der Verwendung eines Low-K-Dielektrikumsmaterials ist darauf hinzuweisen, dass ein Low-K-Dielektrikum zusätzlich zu dem High-K-Dielektrikum derart vorgesehen ist, dass der Gate-Elektroden-Kontakt 170 von Dielektrikumsmaterialen umgeben ist (siehe 9 und 11).
  • Ein nichtselektives reaktives Ionenätzen (RIE) wird durchgeführt, um die Schicht 146 und die Seitenwand-Abstandshalter 142, welche nicht durch die Maske 160 geschützt sind, auszusparen. Das Aussparen wird bis zu einer Tiefe d durchgeführt, welche die obere Seite des ausgesparten Abschnitts der Ersatz-Gate-Struktur 158, welche das Ersatz-Metall-Gate 172 bildet, nicht erreicht. Ein Gascluster-Ionenstrahl(GCIB)-Prozess wird dann verwendet, um die Gleichmäßigkeit der Aussparungstiefe zu gewährleisten. Das Ergebnis ist in den 1214 gezeigt. Die Tiefe kann zum Beispiel 60–80 nm betragen. Es ist darauf hinzuweisen, dass ein dünner Abschnitt 142' der Seitenwand-Abstandshalter 142 (mit einer Dicke von beispielsweise 3–10 nm) auf jeder Seite des Gate-Elektroden-Kontakts 170 verbleibt, wie In dem Querschnitt von 14 gezeigt.
  • Als Nächstes wird ein Abscheiden eines Dielektrikumsmaterials durchgeführt, um die zuvor gebildeten Strukturen abzudecken. Dieses Abscheiden kann zum Beispiel unter Verwendung eines chemischen Gasabscheidungsprozesses erfolgen. Das Dielektrikumsmaterial kann zum Beispiel ein HDP-Oxid umfassen. Ein chemisch-mechanischer Polier(CMP)-Prozess wird verwendet, um überschüssige Abschnitte des Dielektrikumsmaterialabscheidens zu entfernen, wobei das Polieren an der oberen Seite der Blockiermaske 160 endet. Das Ergebnis ist in den 1517 gezeigt, um eine Zwischenlevel-Dielektrikumsschicht (ILD) oder Vormetallisierungs-Dielektrikumsschicht (PMD) 146' zu bilden.
  • Unter Verwendung gut bekannter litographischer Strukturierungstechniken wird eine Ätzmaske 180 auf einer oberen Fläche 182 der ILD/PMD-Schicht 146' mit Maskenöffnungen an den Orten für Source- und Drain-Kontakte gebildet. Das Ergebnis ist in 18 gezeigt.
  • Ein reaktives Ionenätzen (RIE) wird dann durch die Maskenöffnungen durchgeführt, um selbstausgerichtete Kontaktöffnungen 184 zu bilden, welche sich durch die ILD/PMD-Schicht 146' erstrecken, um die obere Fläche der Source- und Drain-Regionen 132 und 134 zu erreichen. Das Ergebnis ist in 19 gezeigt. Die Ätzmaske 180 kann dann entfernt werden.
  • Ein Metallkontakt 190 wird dann in jeder Öffnung 184 gebildet. Der Metallkontakt 190 kann erstellt werden, indem zuerst eine dünne (3–8 nm) Metallbeschichtung abgeschieden wird, welche zum Beispiel aus TiN unter Verwendung eines Atomschichtabscheidungsprozesses hergestellt wird. Ein Metallfüllungsmaterial wird dann unter Verwendung eines thermisch-chemischen Gasabscheidungsprozesses abgeschieden. Das Metallfüllungsmaterial kann zum Beispiel Wolfram umfassen. Ein chemisch-mechanischer Polierprozess (CMP) wird verwendet, um überschüssige Abschnitte der Metallbeschichtung und der Metallfüllung zu entfernen, wobei das Polieren endet, nachdem die Blockiermaske 160 entfernt worden ist (zum Beispiel bei ungefähr einer Dicke, welche der Höhe h entspricht). Das Ergebnis ist in den 2022 gezeigt.
  • Auch wenn es nicht im Einzelnen dargestellt ist, ist darauf hinzuweisen, dass ein Metallsilizid an der unteren Seite jeder Kontaktöffnung 184 gebildet werden könnte, um den Kontaktwiderstand zu verbessern, indem die elektrische Verbindung mit den Source- und Drain-Regionen hergestellt wird.
  • Herkömmliche Back-End-of-Line(BEOL)-Strukturen wie Metallisierungsschichten können dann auf der oberen Fläche der ILD/PMD-Schicht 146' gebildet werden, um eine elektrische Verbindung zu den Source-, Drain- und Gate-Kontakten herzustellen. Siehe zum Beispiel 2324.
  • Der Prozess und die Struktur, welche offenbart werden, können zusammen mit der Herstellung einer flachen MOSFET-Vorrichtung verwendet werden. Wie zuvor erläutert wurde können die im vorliegenden Dokument beschriebenen Techniken und Strukturen für Kontakte außerdem bei einer FinFET-Vorrichtung angewendet werden, und können auch zusammen mit anderen integrierten Schaltungsvorrichtungen verwendet werden, welche ohne Beschränkung bipolare Transistor-Vorrichtungen, Dioden-Vorrichtungen, ebene Transistor-Vorrichtungen mit Source- und Drain-Regionen, welche aus UTBB- oder ETSOI-Substraten oder Ähnlichem gebildet sind, einschließen.
  • Die bevorzugten Ausführungen in dem vorliegenden Dokument verwenden Substrate vom SOI-Typ, es ist jedoch darauf hinzuweisen, dass ein Bulk-Substrat und Substrate eines anderen Typs als Grundlagen zum Aufbauen von integrierten Schaltungen verwendet werden können, welche die im vorliegenden Dokument offenbarten Herstellungstechniken und Strukturen verwenden.
  • Die vorhergehende Beschreibung hat durch beispielhafte und nicht beschränkende Beispiele eine vollständige und informative Beschreibung der beispielhaften Ausführungsform der vorliegenden Erfindung bereitgestellt. Es können für den Fachmann jedoch mehrere Änderungen und Anpassungen angesichts der vorhergehenden Beschreibung augenscheinlich werden, wenn diese zusammen mit den begleitenden Zeichnungen und den beigefügten Ansprüchen gelesen wird. Allerdings werden alle derartigen und ähnlichen Änderungen der Lehren der vorliegenden Erfindung weiterhin innerhalb des Schutzanspruches der vorliegenden Erfindung, wie er in beigefügten Ansprüchen bestimmt ist, fallen.

Claims (23)

  1. Integrierte Schaltung, welche Folgendes umfasst: eine Source-Drain-Region; eine Kanalregion, welche der Source-Drain-Region benachbart ist; eine Gate-Struktur, welche sich über die Kanalregion erstreckt; einen Seitenwand-Abstandshalter auf einer Seite der Gate-Struktur, welcher sich über die Source-Drain-Region erstreckt; und eine Dielektrikumsschicht, welche mit dem Seitenwand-Abstandshalter in Kontakt steht und eine obere Fläche umfasst; wobei die Gate-Struktur Folgendes umfasst: eine Gate-Elektrode; einen Gate-Kontakt, welcher sich von der Gate-Elektrode zu der oberen Fläche erstreckt; und eine Gate-Dielektrikumsschicht zwischen der Gate-Elektrode und der Kanalregion, welche sich zwischen der Gate-Elektrode und dem Seitenwand-Abstandshalter und außerdem zwischen dem Gate-Kontakt und dem Seitenwand-Abstandshalter erstreckt.
  2. Integrierte Schaltung nach Anspruch 1, wobei eine Fläche der Gate-Elektrode und eine Fläche des Gate-Kontakts fluchten und sich parallel zu einer inneren Fläche des Seitenwand-Abstandshalters erstrecken.
  3. Integrierte Schaltung nach Anspruch 1, wobei eine Höhe des Seitenwand-Abstandshalters einer Höhe der Dielektrikumsschicht entspricht.
  4. Integrierte Schaltung nach Anspruch 1, wobei die Dielektrikumsschicht eine einer Zwischenlevel-Dielektrikums(ILD)-Schicht oder Vormetallisierungs-Dielektrikums(PMD)-Schicht ist.
  5. Integrierte Schaltung nach Anspruch 1, welche außerdem einen Source-Drain-Kontakt umfasst, welcher sich von der oberen Fläche der Dielektrikumsschicht zu der Source-Drain-Region erstreckt, wobei der Source-Drain-Kontakt mit dem Seitenwand-Abstandshalter in Kontakt steht.
  6. Integrierte Schaltung nach Anspruch 1, wobei sich eine obere Fläche der Gate-Elektrode unterhalb der oberen Fläche der Dielektrikumsschicht befindet, und wobei der Gate-Kontakt von der oberen Fläche der Gate-Elektrode vorsteht, um die obere Fläche der Dielektrikumsschicht zu erreichen.
  7. Integrierte Schaltung nach Anspruch 1, welche außerdem eine Metallisierungsschicht auf der oberen Fläche der Dielektrikumsschicht umfasst, wobei die Metallisierungsschicht eine Metallbeschichtung enthält, welche mit dem Gate-Kontakt in elektrischem Kontakt steht.
  8. Integrierte Schaltung, welche Folgendes umfasst: eine Source-Drain-Region; eine Kanalregion, welche der Source-Drain-Region benachbart ist; eine Gate-Struktur, welche sich über die Kanalregion erstreckt; einen Seitenwand-Abstandshalter auf einer Seite der Gate-Struktur, welcher sich über die Source-Drain-Region erstreckt; und eine Dielektrikumsschicht, welche mit dem Seitenwand-Abstandshalter in Kontakt steht und eine obere Fläche aufweist; wobei die Gate-Struktur Folgendes umfasst: eine Gate-Elektrode; und einen Gate-Kontakt, welcher sich von der Gate-Elektrode zu der oberen Fläche erstreckt; wobei eine Seitenfläche der Gate-Elektrode und eine Seitenfläche des Gate-Kontakts miteinander fluchten und sich parallel zu einer inneren Fläche des Seitenwand-Abstandshalters erstrecken.
  9. Integrierte Schaltung nach Anspruch 8, welche außerdem eine Gate-Dielektrikumsschicht zwischen der Gate-Elektrode und der Kanalregion umfasst, wobei sich die Gate-Dielektrikumsschicht zwischen der Seitenfläche der Gate-Elektrode und der inneren Fläche des Seitenwand-Abstandshalters und außerdem zwischen der Seitenfläche des Gate-Kontakts und der inneren Fläche des Seitenwand-Abstandshalters erstreckt.
  10. Integrierte Schaltung nach Anspruch 8, wobei eine Höhe des Seitenwand-Abstandshalters einer Höhe der Dielektrikumsschicht entspricht.
  11. Integrierte Schaltung nach Anspruch 8, wobei die Dielektrikumsschicht eine einer Zwischenlevel-Dielektrikums(ILD)-Schicht oder Vormetallisierungs-Dielektrikums(PMD)-Schicht ist.
  12. Integrierte Schaltung nach Anspruch 8, welche außerdem einen Source-Drain-Kontakt umfasst, welcher sich von der oberen Fläche der Dielektrikumsschicht zu der Source-Drain-Region erstreckt, wobei der Source-Drain-Kontakt mit dem Seitenwand-Abstandshalter in Kontakt steht.
  13. Integrierte Schaltung nach Anspruch 8, wobei sich eine obere Fläche der Gate-Elektrode unterhalb der oberen Fläche der Dielektrikumsschicht befindet, und wobei der Gate-Kontakt von der oberen Fläche der Gate-Elektrode vorsteht, um die obere Fläche der Dielektrikumsschicht zu erreichen.
  14. Integrierte Schaltung nach Anspruch 8, welche außerdem eine Metallisierungsschicht auf der oberen Fläche der Dielektrikumsschicht umfasst, wobei die Metallisierungsschicht eine Metallbeschichtung enthält, welche mit dem Gate-Kontakt in elektrischem Kontakt steht.
  15. Verfahren, welches folgende Schritte umfasst: Bilden einer Dummy-Gate-Struktur, welche sich über eine Kanalregion erstreckt, wobei die Dummy-Gate-Struktur eine Dummy-Gate-Elektrode und Seitenwand-Abstandshalter auf jeder Seite der Dummy-Gate-Elektrode umfasst, welche sich über eine Source-Drain-Region erstrecken, welche der Kanalregion benachbart ist; Entfernen der Dummy-Gate-Elektrode, um eine Öffnung zwischen den Seitenwand-Abstandshaltern zu bilden; Bilden eines Ersatz-Metall-Gates innerhalb der Öffnung, wobei das Ersatz-Metall-Gate eine dielektrische Beschichtung und einen Metallabschnitt umfasst; Blockmaskieren eines Abschnitts des Ersatz-Metall-Gates, wo ein Gate-Kontakt erwünscht ist; Aussparen des Ersatz-Metall-Gates außer an dem Abschnitt, welcher blockmaskiert ist, zur Bildung einer Gate-Elektrode, wo ein Ersatz-Metall-Gate ausgespart ist, und des Gate-Kontakts, wo das Ersatz-Metall-Gate blockmaskiert ist; und Bereitstellen einer Dielektrikumsschicht.
  16. Verfahren nach Anspruch 15, wobei das Aussparen des Ersatz-Metall-Gates eine weitere Öffnung bildet, und welches außerdem das Füllen der weiteren Öffnung mit einem Isoliermaterial umfasst, welches die Gate-Elektrode abdeckt.
  17. Verfahren nach Anspruch 15, wobei die dielektrische Beschichtung zwischen der Gate-Elektrode und der Kanalregion gelegen ist, wobei sich die dielektrische Beschichtung zwischen der Gate-Elektrode und den Seitenwand-Abstandshaltern und außerdem zwischen dem Gate-Kontakt und den Seitenwand-Abstandshaltern erstreckt.
  18. Verfahren nach Anspruch 15, wobei eine Seitenfläche der Gate-Elektrode und eine Seitenfläche des Gate-Kontakts miteinander fluchten und sich parallel zu einer inneren Fläche der Seitenwand-Abstandshalter erstrecken.
  19. Verfahren nach Anspruch 15, welches außerdem das Bilden einer Kontaktöffnung in der Dielektrikumsschicht umfasst, welche sich von einer oberen Fläche der Dielektrikumsschicht zu der Source-Drain-Region erstreckt, wobei die Kontaktöffnung eine äußere Fläche des Seitenwand-Abstandshalters freilegt, und das Füllen der Kontaktöffnung mit einem Metallmaterial umfasst, um einen Source-Drain-Kontakt zu bilden, welcher mit der äußeren Fläche des Seitenwand-Abstandshalters in Kontakt steht.
  20. Verfahren nach Anspruch 15, welches außerdem das Verwenden des Blockmaskierens beim Durchführen eines Ätzens, welches die Seitenwand-Abstandshalter an dem Gate-Kontakt verdünnt, umfasst.
  21. Verfahren nach Anspruch 15, wobei die Dielektrikumsschicht eine einer Zwischenlevel-Dielektrikums(ILD)-Schicht oder Vormetallisierungs-Dielektrikums(PMD)-Schicht ist.
  22. Verfahren nach Anspruch 15, wobei eine Höhe der Seitenwand-Abstandshalter einer Höhe der Dielektrikumsschicht entspricht.
  23. Verfahren nach Anspruch 15, welches außerdem das Bilden einer Metallisierungsschicht auf einer oberen Fläche der Dielektrikumsschicht umfasst, wobei die Metallisierungsschicht eine Metallbeschichtung enthält, welche mit dem Gate-Kontakt in Kontakt steht.
DE102015120483.5A 2015-06-09 2015-11-26 Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung Pending DE102015120483A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/734,013 US9679847B2 (en) 2015-06-09 2015-06-09 Self-aligned bottom up gate contact and top down source-drain contact structure in the premetallization dielectric or interlevel dielectric layer of an integrated circuit
US14/734,013 2015-06-09

Publications (1)

Publication Number Publication Date
DE102015120483A1 true DE102015120483A1 (de) 2016-12-15

Family

ID=56173413

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015120483.5A Pending DE102015120483A1 (de) 2015-06-09 2015-11-26 Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung

Country Status (3)

Country Link
US (2) US9679847B2 (de)
CN (3) CN109273428B (de)
DE (1) DE102015120483A1 (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9679847B2 (en) * 2015-06-09 2017-06-13 Stmicroelectronics, Inc. Self-aligned bottom up gate contact and top down source-drain contact structure in the premetallization dielectric or interlevel dielectric layer of an integrated circuit
US9768254B2 (en) 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
US9780092B2 (en) * 2016-02-19 2017-10-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having a filling conductor comprising a plug portion and a cap portion and manufacturing method thereof
US9929046B2 (en) * 2016-07-21 2018-03-27 International Business Machines Corporation Self-aligned contact cap
US10283608B2 (en) * 2017-03-17 2019-05-07 Globalfoundries Inc. Low resistance contacts to source or drain region of transistor
US10217839B2 (en) * 2017-03-24 2019-02-26 Globalfoundries Inc. Field effect transistor (FET) with a gate having a recessed work function metal layer and method of forming the FET
US10312160B2 (en) * 2017-05-26 2019-06-04 International Business Machines Corporation Gate-last semiconductor fabrication with negative-tone resolution enhancement
US10504790B2 (en) * 2017-07-25 2019-12-10 Globalfoundries Inc. Methods of forming conductive spacers for gate contacts and the resulting device
US10256158B1 (en) * 2017-11-22 2019-04-09 Globalfoundries Inc. Insulated epitaxial structures in nanosheet complementary field effect transistors
US10607893B2 (en) * 2018-02-17 2020-03-31 Globalfoundries Inc. Middle of line structures
CN112151374A (zh) * 2019-06-28 2020-12-29 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11404416B2 (en) * 2019-12-17 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance fill metal layer material as stressor in metal gates
KR20210096400A (ko) 2020-01-28 2021-08-05 삼성전자주식회사 반도체 장치
KR20210104260A (ko) 2020-02-17 2021-08-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11444018B2 (en) 2020-02-27 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including recessed interconnect structure
US11682707B2 (en) * 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
KR20220163538A (ko) * 2021-06-01 2022-12-12 삼성전자주식회사 반도체 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130256802A1 (en) * 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current
US20140117466A1 (en) * 2012-02-28 2014-05-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US20150194517A1 (en) * 2014-01-03 2015-07-09 Globalfoundries Inc. Gate stack and contact structure

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051470A (en) * 1999-01-15 2000-04-18 Advanced Micro Devices, Inc. Dual-gate MOSFET with channel potential engineering
US7479684B2 (en) * 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
CN102214687A (zh) * 2010-04-07 2011-10-12 中国科学院微电子研究所 一种栅堆叠结构、半导体器件及二者的制造方法
US8685850B2 (en) * 2011-06-13 2014-04-01 Stmicroelectronics, Inc. System and method of plating conductive gate contacts on metal gates for self-aligned contact interconnections
US8907427B2 (en) * 2012-11-05 2014-12-09 Stmicroelectronics, Inc. Semiconductor device including low-K dielectric cap layer for gate electrodes and related methods
US9679847B2 (en) * 2015-06-09 2017-06-13 Stmicroelectronics, Inc. Self-aligned bottom up gate contact and top down source-drain contact structure in the premetallization dielectric or interlevel dielectric layer of an integrated circuit

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140117466A1 (en) * 2012-02-28 2014-05-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US20130256802A1 (en) * 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current
US20150194517A1 (en) * 2014-01-03 2015-07-09 Globalfoundries Inc. Gate stack and contact structure

Also Published As

Publication number Publication date
CN106252326B (zh) 2018-12-18
CN205355053U (zh) 2016-06-29
US9679847B2 (en) 2017-06-13
US20160365309A1 (en) 2016-12-15
CN109273428B (zh) 2023-03-28
US20170222018A1 (en) 2017-08-03
US10121874B2 (en) 2018-11-06
CN109273428A (zh) 2019-01-25
CN106252326A (zh) 2016-12-21

Similar Documents

Publication Publication Date Title
DE102015120483A1 (de) Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102015117142B4 (de) Kontakte für stark skalierte Transistoren und Verfahren zu ihrer Herstellung
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102018101652B4 (de) Integrierte Schaltungsvorrichtung und Verfahren zum Herstellen derselben
DE102012101875B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte
DE102014207415B4 (de) Verfahren zur Herstellung dicht gepackter Standardzellen für integrierte Schaltungsprodukte
DE102004003315B4 (de) Halbleitervorrichtung mit elektrischem Kontakt und Verfahren zur Herstellung derselben
DE10324491B4 (de) Herstellungsverfahren für Dual-Workfunction-Logikbauelemente in vertikalen DRAM-Prozessen
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
DE102017123958A1 (de) Halbleitervorrichtung
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102018218869A1 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102010029525B4 (de) Halbleiterbauelement mit einem vergrabenen Kondensator, der in der Kontaktebene ausgebildet ist, und Verfahren zur Herstellung des Halbleiterbauelements
DE102020126167A1 (de) Feldeffekttransistoren mit kanalbereichen, die ein zweidimensionales material umfassen, auf einem mandrel
DE102019207381A1 (de) Unterschiedliche untere und obere Abstandshalter für einen Kontakt
DE102019210597A1 (de) Verfahren zum Bilden von Abstandhaltern neben Gatestrukturen einer Transistorvorrichtung
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102007037925B3 (de) Metall-Oxid-Halbleiter-Struktur und Verfahren zum Bilden eines Bit-Leitung-Kontaktstöpsels

Legal Events

Date Code Title Description
R082 Change of representative

Representative=s name: CASALONGA & PARTNERS, DE

R163 Identified publications notified
R012 Request for examination validly filed