DE102019103422A1 - Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen - Google Patents

Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen Download PDF

Info

Publication number
DE102019103422A1
DE102019103422A1 DE102019103422.1A DE102019103422A DE102019103422A1 DE 102019103422 A1 DE102019103422 A1 DE 102019103422A1 DE 102019103422 A DE102019103422 A DE 102019103422A DE 102019103422 A1 DE102019103422 A1 DE 102019103422A1
Authority
DE
Germany
Prior art keywords
mask layer
gate
dielectric
source
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019103422.1A
Other languages
English (en)
Inventor
Kuo-Chiang Tsai
Ke-Jing Yu
Fu-Hsiang Su
Yi-Ju Chen
Jyh-Huei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019103422A1 publication Critical patent/DE102019103422A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Abstract

Ein Source-/Drain-Bereich ist in einem Substrat angeordnet. Über dem Substrat ist eine Gatestruktur angeordnet. Auf einer Seitenwand der Gatestruktur ist ein Gate-Abstandshalter angeordnet. Der Gate-Abstandshalter und die Gatestruktur haben im Wesentlichen ähnliche Höhen. Eine Durchkontaktierung ist über dem Source-/Drain-Bereich oder der Gatestruktur angeordnet und mit diesem bzw. dieser elektrisch verbunden. Über dem Gate-Abstandshalter ist eine Maskenschicht angeordnet. Die Maskenschicht hat eine höhere Dielektrizitätskonstante als der Gate-Abstandshalter. Eine erste Seite der Maskenschicht ist benachbart zu der Durchkontaktierung angeordnet. Eine dielektrische Schicht ist auf einer zweiten Seite der Maskenschicht angeordnet, wobei sich die Maskenschicht zwischen der dielektrischen Schicht und der Durchkontaktierung befindet.

Description

  • Prioritätsangaben
  • Die vorliegende Anmeldung ist eine Nutzungsanmeldung für die am 22. Oktober 2018 eingereichte vorläufige US-Patentanmeldung mit dem Aktenzeichen 62/748.579 , die durch Bezugnahme aufgenommen ist.
  • Hintergrund
  • Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat ein rasches Wachstum erfahren. Technologische Fortschritte bei IC-Entwürfen und -Materialien haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat.
  • Mit der weiteren Verkleinerung von Halbleiter-Bauelementen können Probleme bei der Herstellung entstehen. Zum Beispiel können die bestehenden Verfahren zum Herstellen von leitfähigen Kontakten und/oder Durchkontaktierungen für Transistorkomponenten Nachteile haben, wie etwa unbeabsichtigter elektrischer Kurzschluss, hoher spezifischer Widerstand, zu hohe parasitäre Kapazität usw. Diese Nachteile können die Leistung des Halbleiter-Bauelements verschlechtern oder sogar Bauelementausfälle verursachen.
  • Daher sind bestehende Halbleiter-Herstellungsverfahren zwar für ihren angestrebten Zweck im Allgemeinen ausreichend, aber nicht in jeder Hinsicht zufriedenstellend.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein. Es wird außerdem darauf hingewiesen, dass die beigefügten Zeichnungen lediglich typische Ausführungsformen der vorliegenden Erfindung sind und daher nicht als den Schutzumfang beschränkend angesehen werden dürfen, da die Erfindung auch für andere Ausführungsformen gelten kann.
    • Die 1 bis 29 zeigen Seiten-Schnittansichten eines Halbleiter-Bauelements auf verschiedenen Herstellungsstufen gemäß Ausführungsformen der vorliegenden Erfindung.
    • 30 ist ein Ablaufdiagramm, das ein Verfahren zum Herstellen eines Halbleiter-Bauelements gemäß einer Ausführungsform der vorliegenden Erfindung zeigt.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Außerdem soll, wenn eine Anzahl oder ein Bereich von Anzahlen mit den Begriffen „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff Anzahlen umfassen, die in einem angemessenen Bereich liegen, der die angegebene Anzahl enthält, wie etwa innerhalb von ±10 % der angegebenen Anzahl oder anderer Werte, wie einem Fachmann bekannt sein dürfte. Zum Beispiel umfasst der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Mit der weiteren Verkleinerung der Halbleiter-Technologieknoten entstehen Probleme bei der Herstellung. Zum Beispiel können MEOL-Prozesse (MEOL: Middle End of Line) Herstellungsprozesse betreffen, in denen leitfähige Kontakte und Durchkontaktierungen für Transistorkomponenten, wie etwa Gate- und/oder Source-/Drain-Komponenten hergestellt werden. Bestehende MEOL-Prozesse haben jedoch verschiedene Nachteile. Einige bestehende MEOL-Prozesse können Nachteile wie kleinere Source-/Drain-Kontaktgrößen, hoher Kontaktwiderstand und/oder strenge Anforderungen an die Überdeckungskontrolle haben. Andere bestehende MEOL-Prozesse können Nachteile wie hoher Durchkontaktierungswiderstand, zu hohe parasitäre Kapazität und eine zu große Gatehöhe haben. Diese Probleme können sich verschlimmern, wenn die Bauelement-Verkleinerung fortdauert, und sie können die Bauelementleistung verschlechtern. Die vorliegende Erfindung betrifft verbesserte MEOL-Prozessabläufe, die die vorgenannten Probleme bewältigen. Die verschiedenen Aspekte der vorliegenden Erfindung werden unter Bezugnahme auf die 1 bis 30 näher erörtert.
  • Die 1 bis 30 sind schematische Seiten-Teilschnittansichten eines Halbleiter-Bauelements 200 auf verschiedenen Herstellungsstufen gemäß einigen Ausführungsformen. In 1 weist das Halbleiter-Bauelement 200 ein Substrat 210 auf. Bei einigen Ausführungsformen weist das Substrat 210 Silizium auf. Alternativ oder zusätzlich kann das Substrat 210 andere elementare Halbleiter, wie etwa Germanium, aufweisen. Das Substrat 210 kann auch einen Verbindungshalbleiter aufweisen, wie etwa Siliziumcarbid, Galliumarsen, Indiumarsenid und Indiumphosphid. Das Substrat 210 kann auch einen Legierungshalbleiter aufweisen, wie etwa Siliziumgermanium, Siliziumgermaniumcarbid, Galliumarsenphosphid und Galliumindiumphosphid. Bei einer Ausführungsform weist das Substrat 210 eine Epitaxialschicht auf. Zum Beispiel kann das Substrat 210 eine Epitaxialschicht über einem Volumenhalbleiter haben. Außerdem kann das Substrat 210 eine Halbleiter-auf-Isolator(SOI)-Struktur haben. Das Substrat 210 kann zum Beispiel eine BOX-Schicht (BOX: vergrabenes Oxid) aufweisen, die mit einem Verfahren wie Trennung durch implantierten Sauerstoff (SIMOX) oder einem anderen geeigneten Verfahren wie Waferbonden und -schleifen hergestellt wird.
  • Das Substrat 210 kann außerdem verschiedene p-dotierte Bereiche und/oder n-dotierte Bereiche aufweisen, die mit einem Verfahren wie Ionenimplantation und/oder -diffusion implementiert werden. Diese dotierten Bereiche umfassen n-Wannen, p-Wannen, leicht dotierte Bereiche (LDDs) und verschiedene Kanaldotierungsprofile, die so konfiguriert sind, dass sie verschiedene integrierte Schaltkreiselemente (IC-Elemente) bilden, wie etwa komplementäre Metall-Oxid-Halbleiter-Feldeffekttransistoren (CMOS-FETs), Bildsensoren und/oder Leuchtdioden (LEDs). Als ein Beispiel zeigt 1 eine Mehrzahl von Source-/Drain-Bereichen 220, die in dem Substrat 210 hergestellt sind. Die Source-/Drain-Bereiche 220 können epitaxial aufgewachsene Materialien aufweisen und können daher auch als Epitaxialschichten bezeichnet werden.
  • Das Substrat 210 kann außerdem verschiedene elektrische Isolationsbereiche aufweisen. Die elektrischen Isolationsbereiche ermöglichen eine elektrische Isolation zwischen verschiedenen Bauelementbereichen (wie etwa den dotierten Bereichen) in dem Substrat 210. Die elektrischen Isolationsbereiche können unterschiedliche Strukturen aufweisen, die mit unterschiedlichen Bearbeitungsverfahren hergestellt werden. Zum Beispiel können die elektrischen Isolationsbereiche STI-Strukturen (STI: flache Grabenisolation) aufweisen. Die Herstellung einer STI-Struktur kann das Ätzen eines Grabens in dem Substrat 210 und das Füllen des Grabens mit einem oder mehreren Isoliermaterialien umfassen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid oder Kombinationen davon. Der gefüllte Graben kann eine Mehrschichtstruktur haben, wie etwa eine Deckschicht aus thermischem Oxid, wobei Siliziumnitrid den Graben füllt. Ein Polierungs- oder Planarisierungsprozess, wie etwa chemisch-mechanische Polierung (CMP), kann durchgeführt werden, um überschüssige Isoliermaterialien zurückzupolieren und die Oberseite der Isolationselemente zu planarisieren.
  • Das Halbleiter-Bauelement 200 weist eine Mehrzahl von Gatestrukturen auf, zum Beispiel Gatestrukturen 230, die in 1 gezeigt sind. Bei einigen Ausführungsformen sind die Gatestrukturen 230 High-k-Metall-Gatestrukturen, das heißt, die Gatestrukturen 230 weisen jeweils ein High-k-Gatedielektrikum und eine Metall-Gate-Elektrode auf. Bei einigen Ausführungsformen ist ein dielektrisches High-k-Material ein Material mit einer Dielektrizitätskonstante, die größer als die Dielektrizitätskonstante von Si02 ist. Bei einer Ausführungsform umfasst das High-k-Gatedielektrikum Hafniumoxid (Hf02), das eine Dielektrizitätskonstante hat, die etwa 18 bis etwa 40 beträgt. Bei alternativen Ausführungsformen kann das High-k-Dielektrikum Zr02, Y2O3, La2O5, Gd2O5, TiO2, Ta2O5, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO oder SrTiO sein. Über dem High-k-Gatedielektrikum wird die Metall-Gate-Elektrode hergestellt. Bei einigen Ausführungsformen kann die Metall-Gate-Elektrode eine Austrittsarbeitsmetall-Komponente und eine Füllmetall-Komponente aufweisen. Die Austrittsarbeitsmetall-Komponente ist so konfiguriert, dass sie eine Austrittsarbeit ihres entsprechenden Transistors so anpasst, dass eine Soll-Schwellenspannung Vt erzielt wird. Bei verschiedenen Ausführungsformen kann die Austrittsarbeitsmetall-Komponente TiAl, TiAlN, TaCN, TiN, WN oder W oder Kombinationen davon umfassen. Die Füllmetall-Komponente ist so konfiguriert, dass sie als der leitfähige Hauptteil der Gate-Elektrode dient. Bei verschiedenen Ausführungsformen kann die Füllmetall-Komponente Aluminium (Al), Wolfram (W), Kupfer (Cu) oder Kombinationen davon umfassen.
  • Die Gatestrukturen 230 können mit eine Gate-Ersetzungsprozess hergestellt werden. Dabei wird zunächst eine Dummy-Gate-Elektrode (z. B. eine Polysilizium-Gate-Elektrode) über dem High-k-Gatedielektrikum hergestellt. Dann werden die Source-/Drain-Bereiche (wie etwa die Source-/Drain-Bereiche 220 bis 223) des Transistors in dem Substrat und auf gegenüberliegenden Seiten der Dummy-Gate-Elektrode hergestellt. Anschließend kann über dem Substrat ein Zwischenschicht-Dielektrikum (ILD) hergestellt werden, das die Dummy-Gate-Elektrode darin umschließt. Zum Planarisieren der Oberseite des ILD kann ein Polierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP), durchgeführt werden. Dann wird die Dummy-Gate-Elektrode entfernt, sodass eine Öffnung in dem ILD an der Stelle der entfernten Dummy-Gate-Elektrode zurückbleibt. Dann wird die Metall-Gate-Elektrode in der Öffnung hergestellt. Der vorstehende Prozess kann auch als ein Gate-zuletzt-Prozess bezeichnet werden. Bei einigen anderen Ausführungsformen kann die Herstellung der High-k-Metall-Gatestrukturen auch einen High-k-zuletzt-Prozess umfassen, bei dem zunächst ein Dummy-Gate-Dielektrikum (z. B. Siliziumoxid) hergestellt wird und über dem Dummy-Gate-Dielektrikum die Dummy-Gate-Elektrode hergestellt wird. Nach der Herstellung der Source-/Drain-Bereiche und des ILD können das Dummy-Gate-Dielektrikum und die Dummy-Gate-Elektrode entfernt werden, um eine Öffnung in dem ILD zu erzeugen. Dann werden das High-k-Gatedielektrikum und die Metall-Gate-Elektrode in der Öffnung hergestellt.
  • Auf den Seitenwänden der Gatestrukturen 230 werden Gate-Abstandshalter 240 angeordnet. Bei einigen Ausführungsformen weisen die Gate-Abstandshalter 240 ein oder mehrere Low-k-Materialien auf. Bei einigen Ausführungsformen können die Low-k-Materialien der Gate-Abstandshalter ein oder mehrere dielektrische Materialien mit einer Dielektrizitätskonstante von kleiner als etwa 4 sein. Die Low-k-Materialien können zum Beispiel Siliziumoxidnitrid (SiON), dotiertes Silizium, eine Oxidschicht mit einem Stickstoffanteil, ein Nitridoxid, ein Oxid mit einem Hafniumanteil oder Kombinationen davon sein. Auf den Seitenwänden der Gate-Abstandshalter 240 werden Ätzstoppschichten (ESLs) 250 angeordnet. Die Ätzstoppschichten 250 werden auch als untere Kontakt-Ätzstoppschichten (BCESLs) bezeichnet. Die Gate-Abstandshalter 240 und die Ätzstoppschichten 250 haben unterschiedliche Materialzusammensetzungen.
  • Über jedem der Source-/Drain-Bereiche 220 wird eine dielektrische Schicht 260 angeordnet. Die dielektrische Schicht 260 hat eine andere Materialzusammensetzung als die Gate-Abstandshalter 240 und die Ätzstoppschichten 250. Bei einigen Ausführungsformen weist die dielektrische Schicht 260 Siliziumoxid auf.
  • An dem Halbleiter-Bauelement 200 werden ein oder mehrere Rückätzprozesse 300 durchgeführt. Bei dem einen oder den mehreren Rückätzprozessen 300 wird ein Teil jeder der Gatestrukturen 230 entfernt, sodass Öffnungen 310 an der Stelle der teilweise entfernten Gatestrukturen entstehen. In einem späteren Herstellungsprozess wird ein dielektrisches Low-k-Material in den Öffnungen 310 abgeschieden, wie später näher dargelegt wird.
  • Kommen wir nun zu 2, wo ein oder mehrere Abstandshalter-Rückziehprozesse 320 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abstandshalter-Rückziehprozessen 320 werden die Teile der Gate-Abstandshalter 240 und der Ätzstoppschichten 250 entfernt, die sich über den Gatestrukturen 230 befinden. Bei einigen Ausführungsformen kann das Entfernen der Gate-Abstandshalter 240 und der Ätzstoppschichten 250 durch seitliches Ätzen erfolgen. Nachdem der eine oder die mehreren Abstandshalter-Rückziehprozesse 320 durchgeführt worden sind, können die Oberseiten der verbliebenen Teile der Gate-Abstandshalter 240 und der Ätzstoppschichten 250 im Wesentlichen koplanar mit den Oberseiten der Gatestrukturen 230 sein. Mit anderen Worten, die Gate-Abstandshalter 240, die Ätzstoppschichten 250 und die Gatestrukturen 230 können im Wesentlichen ähnliche Höhen haben, zum Beispiel jeweils innerhalb einiger Prozentpunkte einer Gatehöhe 330.
  • Kommen wir nun zu 3, wo ein Abscheidungsprozess 340 an dem Halbleiter-Bauelement 200 durchgeführt, um eine Maskenschicht 350 herzustellen. Bei einigen Ausführungsformen umfasst der Abscheidungsprozess 340 einen CVD-Prozess (CVD: chemische Aufdampfung), einen PVD-Prozess (PVD: physikalische Aufdampfung), einen ALD-Prozess (ALD: Atomlagenabscheidung) oder Kombinationen davon. Die Maskenschicht 350 wird über den Gatestrukturen 230, den Gate-Abstandshaltern 240, den Ätzstoppschichten 250 und den dielektrischen Schichten 260 hergestellt. Die Maskenschicht 350 füllt die Öffnungen 310 teilweise. Wie in 3 zu erkennen ist, hat die Maskenschicht 350 ein Querschnittsprofil, das einer Antenne ähnelt, insofern als die Maskenschicht 350 im Zickzack verläuft und verschiedene Spitzen und Täler in der Schnittansicht hat. Daher kann die Maskenschicht 350 auch als eine antennenförmige Maskenschicht bezeichnet werden.
  • Die Maskenschicht 350 hat eine andere Materialzusammensetzung als die Gate-Abstandshalter 240 und die Ätzstoppschichten 250. Bei einigen Ausführungsformen hat die Maskenschicht 350 eine dielektrische High-k-Materialzusammensetzung, zum Beispiel ein dielektrisches Material mit einer Dielektrizitätskonstante, die größer als etwa 5 ist. Als nicht-beschränkende Beispiele können Materialien, die für die Maskenschicht 350 geeignet sind, SiON, Ta2O5, Al2O3, Zr02, ein Oxid mit einem Aluminiumanteil, ein Oxid mit einem Stickstoffanteil, ein Oxid mit einem Hafniumanteil, ein Oxid mit einem Tantalanteil, ein Oxid mit einem Titananteil, ein Oxid mit einem Zirconiumanteil, ein Oxid mit einem Lanthananteil, ein nitriertes Oxid oder Kombinationen davon umfassen. Auf Grund der relativ hohen Dielektrizitätskonstante der Maskenschicht 350 (die z. B. höher als die der Gate-Abstandshalter 240 ist) wird die Maskenschicht 350 absichtlich nicht auf den Seitenwänden der Gatestrukturen 230 hergestellt, da dies die parasitäre Kapazität des Halbleiter-Bauelements 200 unnötig erhöhen würde. Stattdessen werden die Gate-Abstandshalter 240 (die eine niedrige Dielektrizitätskonstante haben) auf den Seitenwänden der Gatestrukturen 230 angeordnet. Die hohe Dielektrizitätskonstante der Maskenschicht 350 beeinträchtigt die parasitäre Kapazität hier nicht zu sehr, da die Maskenschicht 350 nicht direkt benachbart zu der Gatestruktur 230 angeordnet ist.
  • Es ist klar, dass die Maskenschicht 350 als eine einzelne Schicht oder unter Verwendung von mehreren Schichten implementiert werden kann, die jeweils ihre eigene spezifische dielektrische Materialzusammensetzung haben. Die Maskenschicht 350 kann als eine Schutzmaske für die Gate-Abstandshalter 240 und die Ätzstoppschichten 250 verwendet werden, wie später näher dargelegt wird.
  • Die Maskenschicht 350 hat eine Höhe 360, die von ihrer Unterseite bis zu ihrer Oberseite gemessen wird. Bei einigen Ausführungsformen beträgt die Höhe 360 etwa 0,1 nm bis etwa 50 nm. Die Maskenschicht 350 hat außerdem eine Dicke 370. Bei einigen Ausführungsformen beträgt die Dicke 370 etwa 0,1 nm bis etwa 15 nm. Die Bereiche für die Höhe 360 und/oder die Dicke 370 sind so konfiguriert, dass sie gewährleisten, dass die Maskenschicht 350 so dick ist, dass sie angemessen als eine Schutzschicht dienen kann, aber nicht zu dick ist, um die Bauelementhöhe nicht unnötig zu vergrößern oder die beabsichtigten Operationen des Halbleiter-Bauelements 200 anderweitig zu beeinträchtigen.
  • Kommen wir nun zu 4, wo ein Maskenschicht-Rückziehprozess 400 an dem Halbleiter-Bauelement 200 durchgeführt wird. Bei einigen Ausführungsformen umfasst der Maskenschicht-Rückziehprozess 400 einen oder mehrere Ätzprozesse. Bei dem Maskenschicht-Rückziehprozess 400 werden Teile der Maskenschicht 350 entfernt, bis die Oberseiten der Gatestrukturen 230 und der dielektrischen Schichten 260 freigelegt sind. Zu diesem Zeitpunkt befinden sich die verbliebenen Teile der Maskenschicht 350 auf den Oberseiten der Gate-Abstandshalter 240 und der Ätzstoppschichten 250 sowie auf den Seitenwänden der dielektrischen Schichten 260. Es ist außerdem zu beachten, dass durch die Durchführung des Maskenschicht-Rückziehprozesses 400 die oberen Ecken der verbliebenen Teile der Maskenschicht 350 abgerundet oder gekrümmt werden können, wie es zum Beispiel in einer vergrößerten Darstellung gezeigt ist, die den gestrichelten Kreisen in 4 entspricht. Der Einfachheit halber sind die abgerundeten oder gekrümmten Ecken der Maskenschicht 350 in den folgenden Figuren nicht im Einzelnen dargestellt.
  • Kommen wir nun zu 5, wo ein Abscheidungsprozess 420 an dem Halbleiter-Bauelement 200 durchgeführt wird. Bei dem Abscheidungsprozess 420 werden die Öffnungen 310 mit einem dielektrischen Material 430 gefüllt. Bei einigen Ausführungsformen kann der Abscheidungsprozess 420 eine selektive Abscheidung sein, bei der das dielektrische Material 430 zwar selektiv auf den Gatestrukturen 230, nicht aber auf den dielektrischen Schichten 260 und weitgehend nicht auf den Maskenschichten 350 abgeschieden wird.
  • Das dielektrische Material 430 hat eine andere Materialzusammensetzung als die Maskenschicht 350. Bei einigen Ausführungsformen ist das dielektrische Material 430 ein dielektrisches Material mit einer Dielektrizitätskonstante, die kleiner als die eines dielektrischen High-k-Materials ist, aber größer als die eines dielektrischen Low-k-Materials ist. Bei einigen Ausführungsformen hat das dielektrische Material 430 eine Dielektrizitätskonstante, die kleiner als etwa 10 und größer als etwa 4 ist. Als nicht-beschränkende Beispiele kann das Low-k-Material Siliziumoxidnitrid (SiON), dotiertes Silizium, eine Oxidschicht mit einem Stickstoffanteil, ein Nitridoxid, ein Oxid mit einem Hafniumanteil oder Kombinationen davon umfassen. Bei einigen Ausführungsformen sind die Gate-Abstandshalter 240 und die dielektrischen Materialien 430 zwar beide dielektrische Low-k-Materialien, aber sie können unterschiedliche Materialzusammensetzungen haben (z. B. unterschiedliche Arten von dielektrischen Low-k-Materialien). Es ist klar, dass einige Teile des dielektrischen Materials 430 in der Endstruktur des Halbleiter-Bauelements 200 verbleiben können und als ein Zwischenschicht-Dielektrikum (ILD) dienen können.
  • Kommen wir nun zu 6, wo ein Rückätzprozess 450 an dem Halbleiter-Bauelement 200 durchgeführt wird. Bei dem Rückätzprozess 450 werden Teile der dielektrischen Materialien 430 entfernt, ohne die dielektrischen Schichten 260 oder die Maskenschichten 350 erheblich zu entfernen. Dies kann durch die Unterschiede bei den Materialzusammensetzungen zwischen diesen Schichten erreicht werden, sodass eine Ätzselektivität so eingestellt werden kann, dass sie während der Durchführung des Rückätzprozesses 450 zwischen ihnen besteht. Nach der Durchführung des Rückätzprozesses 450 erscheinen Teile der Öffnungen 310 wieder. Mit anderen Worten, durch das Durchführen des Rückätzprozesses 450 ist eine Höhe 460 der verbliebenen Teile der dielektrischen Materialien 430 kleiner als eine Höhe 470 der verbliebenen Teile der Maskenschicht 350. Dies geschieht so, dass eine Schutzmaske in den Öffnungen 310 über den verbliebenen Teilen der dielektrischen Materialien 430 hergestellt wird, wie nachstehend unter Bezugnahme auf 7 dargelegt wird.
  • Kommen wir nun zu 7, wo ein oder mehrere Abscheidungsprozesse 500 an dem Halbleiter-Bauelement 200 durchgeführt werden. Die Abscheidungsprozesse 500 können im Wesentlichen den Abscheidungsprozessen 340 ähnlich sein. Mit anderen Worten, das Ergebnis der Abscheidungsprozesse 500 ist, dass weitere Teile der Maskenschicht 350 entstehen, zum Beispiel über den dielektrischen Materialien 430, den dielektrischen Schichten 260 und über bestehenden Teilen der Maskenschicht 350. Teile der Maskenschicht 350 werden direkt über den dielektrischen Materialien 430 hergestellt und können die dielektrischen Materialien 430 gegen eine Ätzung in späteren Prozessen schützen.
  • Kommen wir nun zu 8, wo ein oder mehrere Planarisierungsprozesse 520 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei einigen Ausführungsformen können der eine oder die mehreren Planarisierungsprozesse 520 CMP-Prozesse (CMP: chemisch-mechanische Polierung) sein. Bei den Planarisierungsprozessen 520 werden Teile der Maskenschicht 350 entfernt, bis die Oberseiten der dielektrischen Schicht 260 freigelegt sind. Die Planarisierungsprozesse 520 „teilen“ die Maskenschicht 350 in horizontale Segmente 350A und vertikale Segmente 350B. Die horizontalen Segmente 350A sind die Segmente, die sich direkt über den dielektrischen Materialien 430 befinden, und die vertikalen Segmente 350B sind die Segmente, die sich direkt über den Gate-Abstandshaltern 240 und den Ätzstoppschichten 250 und auf Seitenwänden der dielektrischen Schichten 260 befinden. Es ist zu erkennen, dass die vertikalen Segmente 350B und die horizontalen Segmente 350A, die sie miteinander verbinden, auf dieser Herstellungsstufe kollektiv eine n-ähnliche Form bilden können.
  • Kommen wir nun zu 9, wo ein oder mehrere Ätzprozesse 550 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Ätzprozessen 550 werden die dielektrischen Schichten 260 entfernt, bis die Oberseiten der Source-/Drain-Bereiche 220 freigelegt sind. Auf Grund der Unterschiede bei den Materialzusammensetzungen zwischen den dielektrischen Schichten 260 und den Maskenschichtsegmenten 350A und 350B können der eine oder die mehreren Ätzprozesse 550 so eingestellt werden, dass sie eine Ätzselektivität zwischen den dielektrischen Schichten 260 und den Maskenschichtsegmenten 350A und 350B haben. Das heißt, die dielektrischen Schichten 260 können mit einer wesentlich größeren Rate (z. B. einer 10-fachen oder höheren Rate) als die Maskenschichtsegmente 350A und 350B weggeätzt werden. Daher werden die Maskenschichtsegmente 350A und 350B während der Ätzprozesse 550 als ätzbeständig angesehen. Dadurch können die Maskenschichtsegmente 350B als Schutzmasken zum Schützen der darunter befindlichen dielektrischen Materialien 430 während der Durchführung der Ätzprozesse 550 angesehen werden, und die Maskenschichtsegmente 350A können als Schutzmasken zum Schützen der darunter befindlichen Gate-Abstandshalter 240 und Ätzstoppschichten 250 während der Durchführung der Ätzprozesse 550 angesehen werden.
  • Bei einigen Ausführungsformen (wie etwa der dargestellten Ausführungsform) können die Ätzprozesse 550 auch zu einer wesentlichen Entfernung der horizontalen Segmente 350A führen, sodass die Oberseiten der dielektrischen Materialien 430 freigelegt werden können. Bei anderen Ausführungsformen kann die Entfernung der horizontalen Segmente 350A nicht vollständig sein, und daher kann ein kleiner Teil der horizontalen Segmente 350A auf den dielektrischen Materialien 430 bestehen bleiben. Unabhängig davon, ob die Entfernung der horizontalen Segmente 350A vollständig ist, haben sie immer noch die Funktion, die dielektrischen Materialien 430 während der Ätzprozesse 550 zu schützen. Auf dieser Herstellungsstufe haben die vertikalen Segmente 350B und die dielektrischen Materialien 430 im Wesentlichen ähnliche Höhen. Anders ausgedrückt, sie können auf dieser Stufe im Wesentlichen koplanare Oberseiten haben.
  • Durch das Entfernen der dielektrischen Schichten 260 entstehen Öffnungen 570 an der Stelle der entfernten dielektrischen Schichten 260. Die Öffnungen 570 sind für die Herstellung von leitfähigen Kontakten einiger der Source-/Drain-Bereiche 220 bestimmt. Die Öffnungen 570 können auf Grund der Maskenschichtsegmente 350A und 350B als selbstjustiert (z. B. zu den Source-/Drain-Bereichen 220 ausgerichtet) bezeichnet werden.
  • Kommen wir nun zu 10, wo ein oder mehrere Abscheidungsprozesse 600 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abscheidungsprozesses 600 werden leitfähige Kontakte 610 (die auch als MD-Kontakte bezeichnet werden) in den Öffnungen 570 hergestellt. Die Abscheidungsprozesse 600 können CVD, PVD, ALD oder Kombinationen davon umfassen. Es ist klar, dass nach den Abscheidungsprozessen 600 ein Polierungsprozess durchgeführt werden kann, um die Oberflächen der leitfähigen Kontakte 610 zu planarisieren. Bei einigen Ausführungsformen umfassen die leitfähigen Kontakte 610 ein Metall oder ein Metall-Verbundmaterial, zum Beispiel Wolfram, Aluminium, Kupfer oder Verbindungen davon, usw. Die leitfähigen Kontakte 610 stellen eine elektrische Verbindung mit den Source-/Drain-Bereichen 220 her.
  • Kommen wir nun zu 11, wo ein oder mehrere Rückätzprozesse 650 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Rückätzprozessen 650 werden die leitfähigen Kontakte 610 rückgeätzt (z. B. teilweise entfernt). Teile der Öffnungen 570 entstehen auf Grund der teilweisen Entfernung der leitfähigen Kontakte 610 wieder.
  • Kommen wir nun zu 12, wo ein oder mehrere Abscheidungsprozesse 670 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abscheidungsprozesses 670 wird eine weitere Ätzstoppschicht 680 (die auch als eine mittlere Kontakt-Ätzstoppschicht oder MCESL bezeichnet werden kann) auf dem Halbleiter-Bauelement 200 hergestellt, zum Beispiel auf den dielektrischen Materialien 430, auf den Maskenschichtsegmenten 350B und auf den leitfähigen Kontakten 610. Die Ätzstoppschicht 680 füllt auch die Öffnungen 570 vollständig. Die Ätzstoppschicht 680 weist ein dielektrisches Material auf. Bei einigen Ausführungsformen kann die Ätzstoppschicht 680 eine andere Materialzusammensetzung als die Maskenschichten 350 und/oder eine andere Materialzusammensetzung als die Gate-Abstandshalter 240 haben.
  • Kommen wir nun zu 13, wo ein oder mehrere Abscheidungsprozesse 700 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abscheidungsprozessen 700 wird eine Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 710 hergestellt. Die ILD-Schicht 710 kann ein dielektrisches Low-k-Material, zum Beispiel ein kohlenstoffhaltiges Oxid oder ein fluorhaltiges Oxid, oder Kombinationen davon aufweisen. Bei einigen Ausführungsformen ist die Dielektrizitätskonstante des dielektrischen Low-k-Materials für die ILD-Schicht 710 kleiner als etwa 4. Das dielektrische Low-k-Material trägt zum Verringern der parasitären Kapazität des Halbleiter-Bauelements 200 bei.
  • Kommen wir nun zu 14, wo ein oder mehrere Ätzprozesse 750 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Ätzprozessen 750 wird eine Öffnung 760 erzeugt, die vertikal durch die ILD-Schicht 710 und die Ätzstoppschicht 680 verläuft. Die Öffnung 760 ist zu einem der leitfähigen Kontakte 610 ausgerichtet, und dadurch wird bei dem teilweisen Entfernen der ILD-Schicht 710 und der Ätzstoppschicht 680 der leitfähige Kontakt 610 freigelegt. Auf Grund der Unterschiede bei der Materialzusammensetzung zwischen den Maskenschichtsegmenten 350B und der Ätzstoppschicht 680 und der ILD-Schicht 710 haben die Ätzprozesse 750 eine Ätzselektivität zwischen den Maskenschichtsegmenten 350B und der Ätzstoppschicht 680 und der ILD-Schicht 710. Das heißt, die Ätzstoppschicht 680 und die ILD-Schicht 710 werden mit wesentlich höheren Ätzraten (z. B. mindestens 10-mal so hoch) als die Maskenschichtsegmente 350B entfernt. Auf diese Weise können die Maskenschichtsegmente 350B die darunter befindlichen Gate-Abstandshalter 240 und Ätzstoppschichten 250 während der Ätzprozesse 750 schützen.
  • Die Maskenschichtsegmente 350B erleichtern außerdem die Selbstjustierung (z. B. die Ausrichtung zu den leitfähigen Kontakten 610) der Öffnung 760. Insbesondere kann eine Überdeckungsverschiebung während der Ätzprozesse 750 dazu führen, dass sich die Öffnung 760 in der Schnittansicht nach „rechts“ oder „links“ verschiebt. Wenn die Maskenschichtsegmente 350B nicht hergestellt werden, kann eine solche Überdeckungsverschiebung zu einem ungewollten Wegätzen von Teilen der dielektrischen Materialien 430 führen, die sich über den Gatestrukturen 230 befinden. Mit anderen Worten, durch eine schlechte Überdeckungskontrolle können die Gatestrukturen 230 ungewollt zu der Öffnung 760 freigelegt werden. Die Öffnung 760 ist für die Herstellung einer Source-/Drain-Durchkontaktierung vorgesehen, die mit dem leitfähigen Kontakt 610 elektrisch verbunden wird. Wenn die Gatestrukturen 230 freigelegt werden, wird die schließlich entstandene Source-/Drain-Durchkontaktierung außerdem so hergestellt, dass sie in physischem Kontakt mit den Gatestrukturen 230 und nicht nur mit dem leitfähigen Kontakt 610 ist. Daher würden die Gatestrukturen 230 mit der Source-/Drain-Durchkontaktierung, die die Öffnung 760 füllt, elektrisch kurzgeschlossen werden. Dieses ungewollte elektrische Kurzschließen kann als „elektrisches Lecken“ bezeichnet werden, und es ist unerwünscht, da es die Halbleiterleistung verschlechtern könnte oder sogar zu Ausfällen führen könnte.
  • Hier ist die Implementierung der Maskenschichtsegmente 350B so konzipiert, dass sie während der Ätzprozesse 750 ätzbeständig sind. Daher können die Maskenschichtsegmente 350B auch dann, wenn eine Überdeckungsverschiebung auftritt, immer noch angemessen verhindern, dass die verschobene Öffnung 760 die Gatestrukturen 230 ungewollt freilegt. Dadurch wird die Source-/Drain-Durchkontaktierung, die in der Öffnung 760 hergestellt ist, zwar mit dem leitfähigen Kontakt 610, nicht aber mit der Gatestruktur 230 elektrisch verbunden. Auf diese Weise fördern die Maskenschichtsegmente 350B die Selbstjustierung der Öffnung 760 (und somit die Selbstjustierung der Source-/Drain-Durchkontaktierung) zu dem bestimmungsgemäßen Target, d. h. dem leitfähigen Kontakt 610.
  • Durch die Verwendung der Maskenschichtsegmente 350B zum Erleichtern der Erzeugung der Öffnung 760 kann das Halbleiter-Bauelement 200 auch eine geringere Höhe erreichen. Insbesondere erfordern einige herkömmliche Halbleiterherstellungs-Prozessabläufe eine zusätzliche Maskenschicht zum Definieren der Source-/Drain- und/oder Gatekontakt-/Durchkontaktierungsöffnungen. Dann können Ätzstoppschichten, die der Ätzstoppschicht 680 ähnlich sind, auf der zusätzlichen Maskenschicht hergestellt werden. Dadurch kann die effektive Höhe des Halbleiter-Bauelements groß sein. Außerdem müssen die Durchkontaktierungen/Kontakte, die mit diesen herkömmlichen Verfahren hergestellt werden, möglicherweise auch vertikal durch die zusätzlichen Maskenschichten verlaufen, was bedeutet, dass auch die Durchkontaktierungen/Kontakte höher als nötig sein können. Unter anderem führen die höheren Durchkontaktierungen/Kontakte zu einem höheren spezifischen Durchkontaktierungs-/Kontaktwiderstand. Im Gegensatz dazu erfordert die Maskenschicht 350 (oder deren Segmente 350A und 350B) der vorliegenden Erfindung keine zusätzliche Schicht, sondern sie nimmt die gleiche Schicht wie die Ätzstoppschicht 680 in Anspruch. Daher können die effektive Gatehöhe und die effektive Durchkontaktierungs-/Kontakthöhe reduziert werden, was zu einem niedrigeren spezifischen Widerstand führt.
  • Es ist klar, dass bei dem einen oder den mehreren Ätzprozessen 750 auch eine oder mehrere Öffnungen erzeugt werden können, die zu einer oder mehreren der Gatestrukturen 230 ausgerichtet sind. Auf Grund der räumlichen Beschränkungen in den Zeichnungen sind diese Öffnungen in 14 nicht dargestellt, aber ein nichtbeschränkendes Beispiel für eine dieser Öffnungen ist in 15 gezeigt. In 15 verläuft eine Öffnung 770 ebenfalls vertikal durch die ILD-Schicht 710 und die Ätzstoppschicht 680, und sie legt eine der Gatestrukturen 230 frei.
  • Aus ähnlichen Gründen wie denen, die vorstehend für die Öffnung 760 erörtert worden sind, wird auf Grund des Vorhandenseins der Maskenschichtsegmente 350B auch die Öffnung 770 zu der Gatestruktur 230 selbstjustiert. Zum Beispiel ist die Öffnung 770 für die Herstellung einer leitfähigen Durchkontaktierung (oder eines leitfähigen Kontakts) für die Gatestruktur 230 bestimmt. Es ist weniger wahrscheinlich, dass die leitfähige Durchkontaktierung / der leitfähige Kontakt für die Gatestruktur 230 mit dem leitfähigen Kontakt 610 elektrisch kurzgeschlossen wird, auch wenn eine schlechte Überdeckungskontrolle dazu führt, dass die Öffnung 770 seitlich verschoben wird. Das liegt daran, dass die ätzbeständigen Maskenschichtsegmente 350B eine Überätzung durch die Ätzprozesse 750 effektiv verhindern können. Daher fördern die Maskenschichtsegmente 350B die Selbstjustierung der Öffnung 770 (und somit die Selbstjustierung der Source-/Drain-Durchkontaktierung) zu dem angestrebten Target, d. h. der Gatestruktur 230.
  • Kommen wir nun zu den 16 und 17, wo ein oder mehrere Abscheidungsprozesse 800 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abscheidungsprozessen 800 werden die Öffnung 760 und/oder die Öffnung 770 mit einem leitfähigen Material gefüllt. Ein Planarisierungsprozess, wie etwa ein CMP-Prozess, kann an dem abgeschiedenen leitfähigen Material durchgeführt werden. Dadurch kann eine Durchkontaktierung 810 in der Öffnung 760 hergestellt werden, wie in 16 gezeigt ist, und/oder eine Durchkontaktierung 820 kann in der Öffnung 770 hergestellt werden, wie in 17 gezeigt ist. Die Durchkontaktierung 810 stellt eine elektrische Verbindung mit dem Source-/Drain-Bereich 220 her und kann als eine VD-Durchkontaktierung bezeichnet werden, und die Durchkontaktierung 820 stellt eine elektrische Verbindung mit der Gatestruktur 230 her und kann als eine VG-Durchkontaktierung bezeichnet werden. Wie vorstehend dargelegt worden ist, gewährleistet die vorliegende Erfindung vorteilhaft, dass die Durchkontaktierung 810 zu dem leitfähigen Kontakt 610 selbstjustiert wird und es weniger wahrscheinlich ist, dass sie mit den Gatestrukturen 230 elektrisch kurzgeschlossen wird, und dass die Durchkontaktierung 820 zu der Gatestruktur 230 selbstjustiert wird und es weniger wahrscheinlich ist, dass sie mit dem leitfähigen Kontakt 610 elektrisch kurzgeschlossen wird.
  • Die Maskenschichtsegmente 350B sind benachbart zu der Durchkontaktierung 810 angeordnet. Bei einigen Ausführungsformen können die Maskenschichtsegmente 350B in direktem physischem Kontakt mit Seitenwänden (z. B. unteren Teilen der Seitenwände) der Durchkontaktierung 810 sein. Bei anderen Ausführungsformen ist die Überdeckungskontrolle ausreichend streng, und die Durchkontaktierung 810 wird so klein hergestellt, dass eine geringe Menge des dielektrischen Materials 430 zwischen den Maskenschichtsegmenten 350B und der Durchkontaktierung 810 verbleiben kann. Das Gleiche gilt für die Maskenschichtsegmente 350B und die Durchkontaktierung 820. Wie außerdem in 16 gezeigt ist, ist eine erste Seite jedes Maskenschichtsegments 350B benachbart zu der Durchkontaktierung 810 (z. B. gegenüber der Durchkontaktierung) angeordnet, während eine zweite und gegenüberliegende Seite jedes Maskenschichtsegments 350B benachbart zu dem dielektrischen Material 430 (z. B. gegenüber dem dielektrischen Material 430) angeordnet ist. Bei der Durchkontaktierung 820 von 17 ist eine erste Seite jedes Maskenschichtsegments 350B benachbart zu der Durchkontaktierung 820 angeordnet, während eine zweite und gegenüberliegende Seite jedes Maskenschichtsegments 350B benachbart zu der Ätzstoppschicht 680 angeordnet ist.
  • Als ein Ergebnis der Durchführung des vorstehend erörterten Herstellungsprozessablaufs ist eine weitere spezifische Eigenschaft des Halbleiter-Bauelements 200, dass die Durchkontaktierung 810 und die Durchkontaktierung 820 ähnliche Höhen haben. Wie in 16 gezeigt ist, hat die Durchkontaktierung 810 eine Höhe 830, und die Durchkontaktierung 820 hat eine Höhe 840. Die Höhen 830 und 840 sollten ähnliche Werte haben. Bei einigen Ausführungsformen liegt ein Verhältnis zwischen der Höhe 830 und der Höhe 840 in dem Bereich von etwa 0,9 : 1 bis etwa 1,1 : 1. Bei einigen Ausführungsformen liegen die Höhe 830 und die Höhe 840 jeweils in dem Bereich von etwa 5 nm bis etwa 45 nm. Ein Grund für die Ähnlichkeit der Höhe 830 und der Höhe 840 ist, dass die Durchkontaktierung 810 und die Durchkontaktierung 820 jeweils durch die gleichen Schichten, d. h. die Schichten 680 und 710, verlaufen. Somit sind die Unterschiede zwischen der Höhe 830 und der Höhe 840 größtenteils den Höhenunterschieden zwischen dem leitfähigen Kontakt 610 und der Gatestruktur 230 vor der Herstellung der Durchkontaktierungen 810 und 820 zuzuschreiben. Im Gegensatz dazu können herkömmliche Herstellungsprozessabläufe zu radikal unterschiedlichen Höhen zwischen Source-/Drain-Durchkontaktierungen und Gatedurchkontaktierungen führen.
  • Die 1 bis 17 zeigen den Prozessablauf gemäß einer ersten Ausführungsform der vorliegenden Erfindung. Die 18 bis 22 zeigen eine zweite Ausführungsform der vorliegenden Erfindung. Aus Gründen der Einheitlichkeit und Klarheit werden ähnliche Prozesse oder Komponenten, die in der ersten und der zweiten Ausführungsform vorkommen, gleich bezeichnet.
  • Kommen wir nun zu 18, wo das Halbleiter-Bauelement 200 die gleichen Bearbeitungsschritte durchlaufen hat, die vorstehend unter Bezugnahme auf die 1 bis 6 erörtert worden sind. Auf Grund der Rückätzung der dielektrischen Materialien 430 sind die Öffnungen 310 wiedererschienen. Ein lithografischer Prozess 900 wird an dem Halbleiter-Bauelement 200 durchgeführt, um Fotoresistschichten 910 in den Öffnungen 310 herzustellen. Die Fotoresistschichten 910 können von Retikeln 920 als ein Teil des lithografischen Prozesses 900 definiert werden. Die Fotoresistschichten 910 dienen einem ähnlichen Zweck wie die Maskenschichtsegmente 350A, die vorstehend in Verbindung mit der ersten Ausführungsform erörtert worden sind und die darunter befindlichen dielektrischen Materialien 430 in später durchgeführten Ätzprozessen schützen sollen.
  • Kommen wir nun zu 19, wo der eine oder die mehreren Ätzprozesse 550, die vorstehend unter Bezugnahme auf 9 erörtert worden sind, an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Ätzprozessen 550 werden auf Grund der Ätzselektivität zwar die dielektrischen Schichten 260, nicht aber die Maskenschichten 350 weggeätzt. Dadurch schützen die Maskenschichten 350 die darunter befindlichen Schichten 240 und 250 während des Ätzens. Außerdem schützen die Fotoresistschichten 910 die dielektrischen Materialien 430 während des Ätzens, und sie dienen daher als eine Ätzmaske, ähnlich wie die Maskenschichten 350 bei der ersten Ausführungsform. Die Fotoresistschichten 910 können dann in einem Fotoresist-Stripping- oder -Ablösungsprozess vollständig entfernt werden. Durch das Entfernen der dielektrischen Schichten 260 entstehen die Öffnungen 570, die die Source-/Drain-Bereiche 220 freilegen.
  • Auf Grund der Unterschiede bei den durchgeführten Herstellungsprozessen zwischen der ersten und der zweiten Ausführungsform hat das Halbleiter-Bauelement 200 geringfügig unterschiedliche physische Eigenschaften zwischen der ersten und der zweiten Ausführungsform. Wie in 19 gezeigt ist, können zum Beispiel die Maskenschichten 350 bei der zweiten Ausführungsform um eine Höhendifferenz 940 höher als die dielektrischen Materialien 430 sein, während sie bei der ersten Ausführungsform im Wesentlichen ähnliche Höhen haben (siehe 9). Bei einigen Ausführungsformen beträgt die Höhendifferenz 940 etwa 0,5 nm bis etwa 20 nm.
  • Kommen wir nun zu 20, wo der eine oder die mehreren Abscheidungsprozesse 600 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abscheidungsprozessen 600 (an die sich Planarisierungsprozesse anschließen) werden die leitfähigen Kontakte 610 in den Öffnungen 570 hergestellt. Wie vorstehend dargelegt worden ist, stellen die leitfähigen Kontakte 610 eine elektrische Verbindung mit den Source-/Drain-Bereichen 220 her. Es ist zu beachten, dass auf Grund der Höhendifferenz 940 die leitfähigen Kontakte 610 bei der zweiten Ausführungsform, die in 20 gezeigt ist, geringfügig höher als die leitfähigen Kontakte 610 bei der ersten Ausführungsform sein können, die in 10 gezeigt ist.
  • Anschließend werden auch die Prozesse 650, 670, 700 und 800, die vorstehend unter Bezugnahme auf die 11 bis 17 erörtert worden sind, an dem Halbleiter-Bauelement 200 bei der zweiten Ausführungsform durchgeführt. Der Einfachheit halber wird die Erörterung für diese Prozesse hier nicht wiederholt. Die resultierenden Strukturen sind in den 21 und 22 gezeigt, wo die Durchkontaktierung 810 über einem der leitfähigen Kontakte 610 hergestellt wird, um eine elektrische Verbindung mit dem Source-/Drain-Bereich 220 herzustellen (siehe 21), und/oder die Durchkontaktierung 820 über einer der Gatestrukturen 230 hergestellt wird, um eine elektrische Verbindung mit der jeweiligen Gatestruktur 230 herzustellen (siehe 22). Auch hier hat auf Grund der Unterschiede bei der Herstellung zwischen der ersten und der zweiten Ausführungsform das Halbleiter-Bauelement 200 bei der zweiten Ausführungsform höhere Maskenschichten 350. Zum Beispiel besteht eine Höhendifferenz 940 zwischen den Maskenschichten 350 und den dielektrischen Materialien 430.
  • Die 23 bis 29 zeigen eine dritte Ausführungsform der vorliegenden Erfindung. Aus Gründen der Einheitlichkeit und Klarheit werden ähnliche Prozesse oder Komponenten, die in der ersten, der zweiten und der dritten Ausführungsform auftreten, gleich bezeichnet.
  • Kommen wir nun zu 23, wo das Halbleiter-Bauelement 200 die gleichen Bearbeitungsschritte durchlaufen hat, die vorstehend unter Bezugnahme auf die 1 bis 3 erörtert worden sind. Anschließend wird ein Maskenschicht-Rückziehprozess 950 an dem Halbleiter-Bauelement 200 durchgeführt. Der Maskenschicht-Rückziehprozess 950 ist dem Maskenschicht-Rückziehprozess 400 ähnlich, der vorstehend unter Bezugnahme auf 4 erörtert worden ist, insofern als er einen oder mehrere Ätzprozesse zum Entfernen von Teilen der Maskenschicht 350 umfasst. Bei dem Maskenschicht-Rückziehprozess 400 wird jedoch eine größere Menge der Maskenschicht 350 entfernt, sodass die verbleibenden Segmente der Maskenschicht 350 nun niedriger als die dielektrischen Schichten 260 sind. Mit anderen Worten, zwischen den Oberseiten der dielektrischen Schichten 260 und den Oberseiten der verbliebenen Segmente der Maskenschicht 350 besteht ein Abstand 960. Bei einigen Ausführungsformen beträgt der Abstand 960 etwa 0,5 nm bis etwa 20 nm.
  • Kommen wir nun zu 24, wo ein Abscheidungsprozess 970 an dem Halbleiter-Bauelement 200 durchgeführt wird. Der Abscheidungsprozess 970 kann dem Abscheidungsprozess 420 ähnlich sein, der vorstehend unter Bezugnahme auf 5 zum Abscheiden der dielektrischen Materialien 430 erörtert worden ist. Der Abscheidungsprozess 970 wird jedoch so durchgeführt, dass die dielektrischen Materialien 430 die Öffnungen 310 nicht nur vollständig füllen, sondern auch so abgeschieden werden, dass sie höher als die Maskenschichten 350 sind. Zum Beispiel können die Oberseiten der dielektrischen Materialien 430 um einen Betrag, der im Wesentlichen dem Abstand 960 ähnlich ist, höher als die Oberseiten der Maskenschichten 350 sein.
  • Kommen wir nun zu 25, wo der lithografische Prozess 900 (der vorstehend unter Bezugnahme auf 18 bei der zweiten Ausführungsform erörtert worden ist) an dem Halbleiter-Bauelement 200 durchgeführt wird. Ähnlich wie bei der zweiten Ausführungsform werden Fotoresistschichten 910 (unter Verwendung der Retikel 920) so hergestellt, dass sie die dielektrischen Materialien 430 bedecken. Die Fotoresistschichten 910 schützen die darunter befindlichen dielektrischen Materialien 430 in späteren Ätzprozessen. Es ist zu beachten, dass die Fotoresistschichten 910 bei alternativen Ausführungsformen auch über den Maskenschichten 350 hergestellt werden können, und dies dürfte die angestrebte Herstellungsbearbeitung nicht beeinträchtigen, da die Maskenschicht 350 während der nachfolgenden Ätzprozesse auf keinen Fall weggeätzt werden soll.
  • Kommen wir nun zu 26, wo der eine oder die mehreren Ätzprozesse 550, die vorstehend unter Bezugnahme auf 9 erörtert worden sind, an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Ätzprozessen 550 werden auf Grund der Ätzselektivität zwar die dielektrischen Schichten 260, nicht aber die Maskenschichten 350 weggeätzt. Dadurch schützen die Maskenschichten 350 die darunter befindlichen Schichten 240 und 250 während des Ätzens. Außerdem schützen die Fotoresistschichten 910 die dielektrischen Materialien 430 während des Ätzens, und sie dienen daher als eine Ätzmaske, ähnlich wie die Maskenschichten 350 bei der ersten Ausführungsform. Die Fotoresistschichten 910 können dann in einem Fotoresist-Stripping- oder -Ablösungsprozess vollständig entfernt werden. Durch das Entfernen der dielektrischen Schichten 260 entstehen die Öffnungen 570, die die Source-/Drain-Bereiche 220 freilegen.
  • Auf Grund der Unterschiede bei den durchgeführten Herstellungsprozessen zwischen der ersten und der dritten Ausführungsform hat das Halbleiter-Bauelement 200 geringfügig unterschiedliche physische Eigenschaften zwischen der dritten Ausführungsform und der ersten und der zweiten Ausführungsform. Wie in 26 gezeigt ist, können zum Beispiel die dielektrischen Materialien 430 bei der dritten Ausführungsform um eine Höhendifferenz 980 höher als die Maskenschichten 350 sein, während sie bei der ersten Ausführungsform im Wesentlichen ähnliche Höhen haben (siehe 9), und die Maskenschichten 350 können bei der zweiten Ausführungsform höher als die dielektrischen Materialien 430 sein (siehe 19). Bei einigen Ausführungsformen beträgt die Höhendifferenz 980 etwa 0,5 nm bis etwa 20 nm.
  • Kommen wir nun zu 27, wo der eine oder die mehreren Abscheidungsprozesse 600 an dem Halbleiter-Bauelement 200 durchgeführt werden. Bei dem einen oder den mehreren Abscheidungsprozessen 600 werden die leitfähigen Kontakte 610 in den Öffnungen 570 hergestellt. Wie vorstehend dargelegt worden ist, stellen die leitfähigen Kontakte 610 eine elektrische Verbindung mit den Source-/Drain-Bereichen 220 her. Wie in 27 gezeigt ist, sind die Maskenschichten 350 niedriger als die dielektrischen Materialien 430 und die leitfähigen Kontakte 610.
  • Anschließend werden die Prozesse 650, 670, 700, 750 und 800, die vorstehend unter Bezugnahme auf die 11 bis 17 erörtert worden sind, auch an dem Halbleiter-Bauelement 200 bei der dritten Ausführungsform durchgeführt. Der Einfachheit halber wird die Erörterung für diese Prozesse hier nicht wiederholt. Die resultierenden Strukturen sind in den 28 und 29 gezeigt, wo die Durchkontaktierung 810 über einem der leitfähigen Kontakte 610 hergestellt wird, um eine elektrische Verbindung mit dem Source-/Drain-Bereich 220 herzustellen (siehe 28), und/oder die Durchkontaktierung 820 über einer der Gatestrukturen 230 hergestellt wird, um eine elektrische Verbindung mit der jeweiligen Gatestruktur 230 herzustellen (siehe 29). Auch hier hat auf Grund der Unterschiede bei der Herstellung zwischen der ersten und der dritten Ausführungsform das Halbleiter-Bauelement 200 bei der dritten Ausführungsform höhere dielektrische Materialien 430 als die Maskenschichten 350. Zum Beispiel besteht eine Höhendifferenz 980 zwischen den Maskenschichten 350 und den dielektrischen Materialien 430.
  • Obwohl die Herstellungsschritte bei der zweiten und der dritten Ausführungsform von denen der ersten Ausführungsform etwas abweichen, erzielen sie die gleichen Vorzüge wie die erste Ausführungsform. Zum Beispiel schützt die Maskenschicht 350 die Gate-Abstandshalter 240 und die Ätzstoppschichten 250 gegen das Ätzen, und sie verhindert auch ein elektrisches Lecken (z. B. eine unerwünschte elektrische Verbindung) zwischen der Source-/Drain-Durchkontaktierung 810 und den Gatestrukturen 230 oder zwischen der Gatedurchkontaktierung / dem Gatekontakt 820 und den leitfähigen Source-/Drain-Kontakten 610. Die Überdeckungskontrolle kann gelockert werden, ohne übermäßige Bauelement-Ausfälle zu riskieren. Die gelockerte Überdeckungskontrolle kann auch zu größeren kritischen Abmessungen (z. B. größeren Durchkontaktierungs-/Kontaktgrößen oder zumindest effektiven Durchkontaktierungs-/Kontakt-Grenzflächen) führen, sodass der spezifische elektrische Widerstand verringert wird. Außerdem dürfte der Fakt, dass die Maskenschichten 350 ein dielektrisches High-k-Material aufweisen, nicht signifikant zu der parasitären Gesamtkapazität beitragen, da sie nicht direkt auf den Seitenwänden der Gatestrukturen 230, sondern darüber angeordnet sind. Darüber hinaus werden bei der vorliegenden Erfindung auch eine niedrigere Gate-Eigenhöhe und/oder Durchkontaktierungs-/Kontakt-Eigenhöhe als bei einigen herkömmlichen Bauelementen erzielt, da bei der vorliegenden Erfindung keine zusätzliche Maskenschicht (z. B. eine zusätzliche Maskenschicht, die zwischen der Ätzstoppschicht 680 und den Gatestrukturen 230 angeordnet ist) zum Strukturieren der Durchkontaktierungen/Kontakte erforderlich ist.
  • Die verschiedenen Ausführungsformen der vorliegenden Erfindung können problemlos in moderne Technologieknoten integriert werden, unter anderem in den N20-Knoten (20 nm), den N16-Knoten (16 nm), den N10-Knoten (10 nm), den N7-Knoten (7 nm) oder den N3-Knoten (3 nm) usw. Außerdem ist klar, dass die verschiedenen Aspekte der vorliegenden Erfindung für herkömmliche planare Transistoren sowie für die in jüngerer Zeit entwickelten dreidimensionalen FinFET-Transistoren gelten können. Ein beispielhaftes FinFET-Bauelement und dessen Herstellung werden in dem US-Patent 9.711.533 mit dem Titel „FinFET Devices Having Different Source/Drain Proximities for Input/Output Devices and Non-Input/Output Devices and the Method of Fabrication thereof“ („FinFET-Bauelemente mit unterschiedlichen Source-/Drain-Proximities für Eingabe-/Ausgabe-Bauelemente und Nicht-Eingabe-/Ausgabe-Bauelemente und Verfahren zu deren Herstellung“) näher beschrieben, das am 16. Oktober 2015 eingereicht und am 18. Juli 2017 erteilt wurde und durch Bezugnahme aufgenommen ist. Der Einfachheit halber werden die Einzelheiten von FinFET-Transistoren hier nicht im Einzelnen erörtert.
  • 30 ist ein Ablaufdiagramm, das ein Verfahren 1000 gemäß einer Ausführungsform der vorliegenden Erfindung zeigt. Das Verfahren 1000 umfasst einen Schritt 1010 zum Bereitstellen eines Halbleiter-Bauelements, das Folgendes aufweist: einen Source-/Drain-Bereich, der in einem Substrat angeordnet ist; ein Gate, das über dem Substrat angeordnet ist; eine dielektrische Komponente, die über dem Source-/Drain-Bereich angeordnet ist; und einen Gate-Abstandshalter, der auf einer Seitenwand des Gates angeordnet ist.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1020, in dem das Gate so rückgeätzt wird, dass es niedriger als der Gate-Abstandshalter ist.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1030, in dem ein Abstandshalter-Rückziehprozess zum Entfernen eines Teils des Gate-Abstandshalters, der sich über dem Gate befindet, durchgeführt wird.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1040, in dem eine Maskenschicht über dem Gate-Abstandshalter hergestellt wird.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1050, in dem eine dielektrische Schicht über dem Gate hergestellt wird. Die dielektrische Schicht und die Maskenschicht werden zueinander benachbart hergestellt.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1060, in dem ein erster Ätzprozess zum Ätzen der dielektrischen Komponente durchgeführt wird, sodass eine Kontaktöffnung über dem Source-/Drain-Bereich entsteht. Die Maskenschicht ist während des ersten Ätzprozesses ätzbeständig.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1070, in dem ein Source-/Drain-Kontakt in der Kontaktöffnung hergestellt wird.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1080, in dem eine Ätzstoppschicht über dem Source-/Drain-Kontakt, der Maskenschicht und der dielektrischen Schicht hergestellt wird.
  • Das Verfahren 1000 umfasst weiterhin einen Schritt 1090, in dem ein zweiter Ätzprozess zum Erzeugen einer Durchkontaktierungsöffnung, die vertikal zumindest durch die Ätzstoppschicht verläuft, durchgeführt wird. Die Maskenschicht ist während des zweiten Ätzprozesses ätzbeständig.
  • Bei einigen Ausführungsformen wird bei dem zweiten Ätzprozess eine Source-/Drain-Durchkontaktierungsöffnung erzeugt, die den Source-/Drain-Kontakt freilegt.
  • Bei einigen Ausführungsformen wird bei dem zweiten Ätzprozess eine Gate-Durchkontaktierungsöffnung erzeugt, die vertikal durch die Ätzstoppschicht und die dielektrische Schicht verläuft, wobei die Gate-Durchkontaktierungsöffnung das Gate freilegt.
  • Bei einigen Ausführungsformen umfasst der Schritt 1040 zum Herstellen der Maskenschicht Folgendes: Abscheiden eines dielektrischen High-k-Materials als die Maskenschicht, wobei das abgeschiedene dielektrische High-k-Material ein antennenförmiges Querschnittsprofil hat; und Ätzen des abgeschiedenen dielektrischen High-k-Materials zu einer Mehrzahl von Segmenten, wobei die Maskenschicht eines der Segmente aufweist.
  • Bei einigen Ausführungsformen werden der Schritt 1040 zum Herstellen der Maskenschicht und der Schritt 1050 zum Herstellen der dielektrischen Schicht so durchgeführt, dass die Maskenschicht und die dielektrische Schicht im Wesentlichen ähnliche Höhen haben.
  • Bei einigen Ausführungsformen werden der Schritt 1040 zum Herstellen der Maskenschicht und der Schritt 1050 zum Herstellen der dielektrischen Schicht so durchgeführt, dass die Maskenschicht höher als die dielektrische Schicht ist.
  • Bei einigen Ausführungsformen werden der Schritt 1040 zum Herstellen der Maskenschicht und der Schritt 1050 zum Herstellen der dielektrischen Schicht so durchgeführt, dass die Maskenschicht niedriger als die dielektrische Schicht ist.
  • Bei einigen Ausführungsformen umfasst der Schritt 1040 zum Herstellen der Maskenschicht das Herstellen der Maskenschicht so, dass sie vor dem Durchführen des ersten Ätzprozesses ein n-ähnliches Querschnittsprofil hat. Die Maskenschicht schützt die dielektrische Schicht vor einer Beschädigung während des ersten Ätzprozesses.
  • Bei einigen Ausführungsformen umfasst der Schritt 1050 zum Herstellen der dielektrischen Schicht das Bedecken der dielektrischen Schicht mit einem Fotoresistmaterial. Das Fotoresistmaterial schützt die dielektrische Schicht gegen das Ätzen in dem ersten Ätzprozess.
  • Es ist klar, dass weitere Prozesse vor, während oder nach den Schritten 1010 bis 1090 des Verfahrens 1000 durchgeführt werden können. Zum Beispiel kann nach dem Erzeugen der Durchkontaktierungsöffnung mit dem zweiten Ätzprozess in dem Schritt 1090 ein Abscheidungsprozess durchgeführt werden, um die Durchkontaktierungsöffnung mit einem leitfähigen Material zu füllen, sodass eine Durchkontaktierung entsteht. Das Verfahren 1000 kann außerdem Schritte wie Herstellen von weiteren Metallschichten, Prüfen, Packaging usw. umfassen. Der Einfachheit halber werden weitere Schritte hier nicht näher erörtert.
  • Kurz gefasst, die vorliegende Erfindung nutzt spezifische Herstellungsprozessabläufe zum Herstellen von Durchkontaktierungen und/oder leitfähigen Kontakten für Komponenten eines Halbleiter-Bauelements. Gemäß den spezifischen Herstellungsprozessabläufen kann eine antennenförmige Maskenschicht (die z. B. ein dielektrisches High-k-Material aufweist) hergestellt werden, die dann bei einigen Ausführungsformen zu einer n -förmigen Komponente strukturiert werden kann, um das Ätzen von MD-Kontaktöffnungen zu erleichtern. Zum Beispiel können auf Grund der Ätzselektivität, die von der n-förmigen Komponente bereitgestellt wird, die MD-Kontaktöffnungen eine Selbstjustierung zu den Source-/Drain-Bereichen erreichen, und die Gate-Abstandshalter können von der n-förmigen Komponente geschützt werden. Die Maskenschicht kann auch die Ätzung von Durchkontaktierungsöffnungen erleichtern, zum Beispiel dadurch, dass sie die Durchkontaktierungsöffnungen beim Erreichen der Selbstjustierung unterstützt und dass sie die dielektrischen Low-k-Materialien gegen eine ungewollte Ätzung schützt. Bei anderen Ausführungsformen wird die n-förmige Komponente nicht hergestellt, aber durch Verwenden von Retikeln zum Definieren von Fotoresistschichten werden die gleichen Wirkungen erzielt.
  • Somit bietet die vorliegende Erfindung Vorteile gegenüber herkömmlichen Bauelementen. Es ist jedoch klar, dass andere Ausführungsformen andere Vorzüge bieten können, hier nicht unbedingt alle Vorzüge angegeben sind und kein spezieller Vorzug für alle Ausführungsformen erforderlich ist. Wenn die Strukturgrößen von Halbleiter-Bauelementen weiter abnehmen, könnte eine schlechte Überdeckungskontrolle dazu führen, dass die Durchkontaktierung / der Kontakt, die/der für eine Komponente (z. B. ein Gate) bestimmt ist, auch auf einer anderen Komponente (z. B. einer Source / einem Drain) hergestellt wird, oder umgekehrt. In der vorliegenden Erfindung wird dieses Problem durch Verwenden einer Maskenschicht zum Erleichtern der Selbstjustierung der Durchkontaktierung / des Kontakts bewältigt, was zu einer Lockerung der Überdeckungskontrolle beiträgt. Ein weiterer Vorzug ist, dass die Maskenschicht die darunter befindlichen dielektrischen Komponenten, zum Beispiel die Low-k-Gate-Abstandshalter, vor einer Beschädigung während des Ätzens schützen kann. Ein noch weiterer Vorzug ist, dass die Herstellungsprozessabläufe der vorliegenden Erfindung zu einem kleineren Bauelement mit geringeren Durchkontaktierungs-/Kontakthöhen als bei einigen herkömmlichen Bauelementen führen. Das kleinere Bauelement trägt zum Verringern des spezifischen elektrischen Widerstands bei. Ein weiterer Vorzug ist, dass mit der vorliegenden Erfindung größere Kontaktflächen erzielt werden, wodurch der spezifische elektrische Widerstand nochmals reduziert wird. Ein weiterer Vorzug ist, dass bei der vorliegenden Erfindung die parasitäre Kapazität nicht übermäßig erhöht wird, obwohl die Maskenschicht ein dielektrisches High-k-Material aufweist, da sich das dielektrische Material nicht so dicht an dem Gate befindet, dass die parasitäre Kapazität signifikant ansteigen würde. Weitere Vorzüge sind die Kompatibilität mit bestehenden Halbleiter-Bauelement-Entwurfs- und -Herstellungsprozessen, sodass die vorliegende Erfindung keine zusätzliche Bearbeitung erfordert und sich daher leicht und kostengünstig implementieren lässt.
  • Ein Aspekt der vorliegenden Erfindung betrifft eine Halbleitervorrichtung. Ein Source-/Drain-Bereich ist in einem Substrat angeordnet. Über dem Substrat ist eine Gatestruktur angeordnet. Auf einer Seitenwand der Gatestruktur ist ein Gate-Abstandshalter angeordnet. Der Gate-Abstandshalter und die Gatestruktur haben im Wesentlichen ähnliche Höhen. Eine Durchkontaktierung ist über dem Source-/Drain-Bereich oder der Gatestruktur angeordnet und mit diesem oder dieser elektrisch verbunden. Über dem Gate-Abstandshalter ist eine Maskenschicht angeordnet. Die Maskenschicht hat eine höhere Dielektrizitätskonstante als der Gate-Abstandshalter. Eine erste Seite der Maskenschicht ist benachbart zu der Durchkontaktierung angeordnet. Eine dielektrische Schicht ist auf einer zweiten Seite der Maskenschicht angeordnet, wobei sich die Maskenschicht zwischen der dielektrischen Schicht und der Durchkontaktierung befindet.
  • Ein weiterer Aspekt der vorliegenden Erfindung betrifft eine Halbleitervorrichtung. Ein Source-/Drain-Bereich ist in einem Substrat angeordnet. Auf dem Source-/Drain-Bereich ist ein Source-/Drain-Kontakt angeordnet. Über dem Source-/Drain-Kontakt ist eine Source-/Drain-Durchkontaktierung angeordnet. Über dem Substrat ist ein Metall-Gate angeordnet. Auf dem Metall-Gate ist eine Gatedurchkontaktierung angeordnet. Die Source-/Drain-Durchkontaktierung und die Gatedurchkontaktierung haben im Wesentlichen ähnliche Höhen. Auf einer Seitenwand des Metall-Gates ist ein Gate-Abstandshalter angeordnet. Auf dem Gate-Abstandshalter befindet sich eine Maskenschicht. Die Maskenschicht hat eine höhere Dielektrizitätskonstante als der Gate-Abstandshalter. Die Maskenschicht ist neben der Source-/Drain-Durchkontaktierung oder der Gatedurchkontaktierung angeordnet.
  • Ein noch weiterer Aspekt der vorliegenden Erfindung betrifft ein Verfahren zum Herstellen einer Halbleitervorrichtung. Es wird eine Halbleitervorrichtung bereitgestellt, die Folgendes aufweist: einen Source-/Drain-Bereich, der in einem Substrat angeordnet ist; ein Gate, das über dem Substrat angeordnet ist; eine dielektrische Komponente, die über dem Source-/Drain-Bereich angeordnet ist; und einen Gate-Abstandshalter, der auf einer Seitenwand des Gates angeordnet ist. Das Gate wird zurückgeätzt, sodass es niedriger als der Gate-Abstandshalter ist. Ein Abstandshalter-Rückziehprozess wird durchgeführt, um einen Teil des Gate-Abstandshalters zu entfernen, der sich über dem Gate befindet. Über dem Gate-Abstandshalter wird eine Maskenschicht hergestellt. Über dem Gate wird eine dielektrische Schicht hergestellt. Die dielektrische Schicht und die Maskenschicht werden zueinander benachbart hergestellt. Ein erster Ätzprozess wird durchgeführt, um die dielektrische Komponente zu ätzen, sodass eine Kontaktöffnung über dem Source-/Drain-Bereich entsteht. Die Maskenschicht ist während des ersten Ätzprozesses ätzbeständig. In der Kontaktöffnung wird ein Source-/Drain-Kontakt hergestellt. Über dem Source-/Drain-Kontakt, der Maskenschicht und der dielektrischen Schicht wird eine Ätzstoppschicht hergestellt. Ein zweiter Ätzprozess wird durchgeführt, um eine Durchkontaktierungsöffnung zu erzeugen, die vertikal zumindest durch die Ätzstoppschicht verläuft. Die Maskenschicht ist während des zweiten Ätzprozesses ätzbeständig.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die detaillierte Beschreibung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen. Zum Beispiel können durch Implementieren unterschiedlicher Dicken für den Bitleitungsleiter und den Wortleitungsleiter unterschiedliche Widerstände für die Leiter erzielt werden. Es können aber auch andere Verfahren zum Ändern der Widerstände der Metallleiter verwendet werden.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/748579 [0001]
    • US 9711533 [0060]

Claims (20)

  1. Halbleitervorrichtung mit: einem Source-/Drain-Bereich (220), der in einem Substrat (210) angeordnet ist; einer Gatestruktur (230), die über dem Substrat angeordnet ist; einem Gate-Abstandshalter (240), der auf einer Seitenwand der Gatestruktur angeordnet ist, wobei der Gate-Abstandshalter und die Gatestruktur im Wesentlichen ähnliche Höhen haben; einer Durchkontaktierung (810, 820), die über dem Source-/Drain-Bereich oder der Gatestruktur angeordnet ist und mit diesem bzw. dieser elektrisch verbunden ist; einer Maskenschicht (350B), die über dem Gate-Abstandshalter angeordnet ist, wobei die Maskenschicht eine höhere Dielektrizitätskonstante als der Gate-Abstandshalter hat und eine erste Seite der Maskenschicht benachbart zu der Durchkontaktierung angeordnet ist; und einer dielektrischen Schicht (430, 680), die auf einer zweiten Seite der Maskenschicht angeordnet ist, wobei sich die Maskenschicht zwischen der dielektrischen Schicht und der Durchkontaktierung befindet.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die Durchkontaktierung eine Gatedurchkontaktierung umfasst, die über der Gatestruktur angeordnet ist.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, die weiterhin einen Source-/Drain-Kontakt aufweist, der über dem Source-/Drain-Bereich angeordnet ist, wobei die Durchkontaktierung eine Source-/Drain-Durchkontaktierung umfasst, die über dem Source-/Drain-Kontakt angeordnet ist.
  4. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei die Maskenschicht und der Gate-Abstandshalter unterschiedliche Materialzusammensetzungen haben.
  5. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei die Maskenschicht und die dielektrische Schicht unterschiedliche Materialzusammensetzungen haben.
  6. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei die dielektrische Schicht eine Dielektrizitätskonstante hat, die niedriger als eine Dielektrizitätskonstante von Siliziumoxid ist.
  7. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei die dielektrische Schicht ein dielektrisches Material einer Kontakt-Ätzstoppschicht aufweist.
  8. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei eine Seitenfläche der Maskenschicht in direktem Kontakt mit einer Seitenwand eines unteren Teils der Durchkontaktierung ist und eine Oberseite der Maskenschicht in direktem Kontakt mit einem oberen Teil der Durchkontaktierung ist.
  9. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin ein Zwischenschicht-Dielektrikum (ILD) aufweist, das über der dielektrischen Schicht angeordnet ist, wobei die Durchkontaktierung vertikal zumindest durch das ILD verläuft.
  10. Halbleitervorrichtung mit: einem Source-/Drain-Bereich (220), der in einem Substrat (210) angeordnet ist; einem Source-/Drain-Kontakt (610), der auf dem Source-/Drain-Bereich angeordnet ist; einer Source-/Drain-Durchkontaktierung (810), die über dem Source-/Drain-Kontakt angeordnet ist; einem Metall-Gate (230), das über dem Substrat angeordnet ist; einer Gatedurchkontaktierung (820), die auf dem Metall-Gate angeordnet ist, wobei die Source-/Drain-Durchkontaktierung und die Gatedurchkontaktierung im Wesentlichen ähnliche Höhen (830, 840) haben; einem Gate-Abstandshalter (240), der auf einer Seitenwand des Metall-Gates angeordnet ist; und einer Maskenschicht (350B), die auf dem Gate-Abstandshalter angeordnet ist, wobei die Maskenschicht eine höhere Dielektrizitätskonstante als der Gate-Abstandshalter hat und die Maskenschicht neben der Source-/Drain-Durchkontaktierung oder der Gatedurchkontaktierung angeordnet ist.
  11. Halbleitervorrichtung nach Anspruch 10, wobei eine Oberseite des Gate-Abstandshalters im Wesentlichen koplanar mit einer Oberseite des Metall-Gates ist.
  12. Verfahren mit den folgenden Schritten: Bereitstellen einer Halbleitervorrichtung, die einen Source-/Drain-Bereich (220), der in einem Substrat (210) angeordnet ist, ein Gate (230), das über dem Substrat angeordnet ist, eine dielektrische Komponente (260), die über dem Source-/Drain-Bereich angeordnet ist, und einen Gate-Abstandshalter (240), der auf einer Seitenwand des Gates angeordnet ist, aufweist; Rückätzen (300) des Gates, sodass das Gate niedriger als der Gate-Abstandshalter ist; Durchführen eines Abstandshalter-Rückziehprozesses (320), um einen Teil des Gate-Abstandshalters zu entfernen, der sich über dem Gate befindet; Herstellen einer Maskenschicht (350) über dem Gate-Abstandshalter; Herstellen einer dielektrischen Schicht (430) über dem Gate, wobei die dielektrische Schicht und die Maskenschicht zueinander benachbart hergestellt werden; Durchführen eines ersten Ätzprozesses (550), um die dielektrische Komponente zu ätzen, sodass eine Kontaktöffnung (570) über dem Source-/Drain-Bereich entsteht, wobei die Maskenschicht während des ersten Ätzprozesses ätzbeständig ist; Herstellen eines Source-/Drain-Kontakts (610) in der Kontaktöffnung; Herstellen einer Ätzstoppschicht (680) über dem Source-/Drain-Kontakt, der Maskenschicht und der dielektrischen Schicht; und Durchführen eines zweiten Ätzprozesses (750), um eine Durchkontaktierungsöffnung (760, 770) zu erzeugen, die vertikal zumindest durch die Ätzstoppschicht verläuft, wobei die Maskenschicht während des zweiten Ätzprozesses ätzbeständig ist.
  13. Verfahren nach Anspruch 12, wobei bei dem zweiten Ätzprozess eine Source-/Drain-Durchkontaktierungsöffnung erzeugt wird, die den Source-/Drain-Kontakt freilegt.
  14. Verfahren nach Anspruch 12 oder 13, wobei bei dem zweiten Ätzprozess eine Gate-Durchkontaktierungsöffnung erzeugt wird, die vertikal durch die Ätzstoppschicht und die dielektrische Schicht verläuft, wobei die Gate-Durchkontaktierungsöffnung das Gate freilegt.
  15. Verfahren nach einem der Ansprüche 12 bis 14, wobei das Herstellen der Maskenschicht Folgendes umfasst: Abscheiden eines dielektrischen High-k-Materials als die Maskenschicht, wobei das abgeschiedene dielektrische High-k-Material ein antennenförmiges Querschnittsprofil hat; und Ätzen des abgeschiedenen dielektrischen High-k-Materials zu einer Mehrzahl von Segmenten, wobei die Maskenschicht eines der Segmente aufweist.
  16. Verfahren nach einem der Ansprüche 12 bis 15, wobei das Herstellen der Maskenschicht und das Herstellen der dielektrischen Schicht so durchgeführt werden, dass die Maskenschicht und die dielektrische Schicht im Wesentlichen ähnliche Höhen haben.
  17. Verfahren nach einem der Ansprüche 12 bis 15, wobei das Herstellen der Maskenschicht und das Herstellen der dielektrischen Schicht so durchgeführt werden, dass die Maskenschicht höher als die dielektrische Schicht ist.
  18. Verfahren nach einem der Ansprüche 12 bis 15, wobei das Herstellen der Maskenschicht und das Herstellen der dielektrischen Schicht so durchgeführt werden, dass die Maskenschicht niedriger als die dielektrische Schicht ist.
  19. Verfahren nach einem der Ansprüche 12 bis 18, wobei das Herstellen der Maskenschicht das Herstellen der Maskenschicht in einer solchen Weise umfasst, dass die Maskenschicht vor dem Durchführen des ersten Ätzprozesses ein π-ähnliches Querschnittsprofil hat, und die Maskenschicht die dielektrische Schicht gegen eine Beschädigung während des ersten Ätzprozesses schützt.
  20. Verfahren nach einem der Ansprüche 12 bis 19, wobei das Herstellen der dielektrischen Schicht das Bedecken der dielektrischen Schicht mit einem Fotoresistmaterial umfasst, wobei das Fotoresistmaterial die dielektrische Schicht gegen das Ätzen in dem ersten Ätzprozess schützt.
DE102019103422.1A 2018-10-22 2019-02-12 Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen Pending DE102019103422A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862748579P 2018-10-22 2018-10-22
US62/748,579 2018-10-22
US16/263,143 2019-01-31
US16/263,143 US11139203B2 (en) 2018-10-22 2019-01-31 Using mask layers to facilitate the formation of self-aligned contacts and vias

Publications (1)

Publication Number Publication Date
DE102019103422A1 true DE102019103422A1 (de) 2020-04-23

Family

ID=70279749

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019103422.1A Pending DE102019103422A1 (de) 2018-10-22 2019-02-12 Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen

Country Status (5)

Country Link
US (1) US11139203B2 (de)
KR (1) KR102279470B1 (de)
CN (1) CN111081757B (de)
DE (1) DE102019103422A1 (de)
TW (1) TWI755641B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN112201614A (zh) * 2019-07-08 2021-01-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11387331B2 (en) * 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
CN112599474B (zh) * 2020-12-21 2023-04-07 维沃移动通信(重庆)有限公司 闪存器件制作方法、闪存器件及电子设备
US20220238373A1 (en) * 2021-01-27 2022-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate contact structure
US20220336367A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming Liners to Facilitate The Formation of Copper-Containing Vias in Advanced Technology Nodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170054004A1 (en) * 2015-08-19 2017-02-23 International Business Machines Corporation Forming a gate contact in the active area
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9824921B1 (en) * 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN104517822B (zh) * 2013-09-27 2017-06-16 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
US9318384B2 (en) 2014-03-24 2016-04-19 International Business Machines Corporation Dielectric liner for a self-aligned contact via structure
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US9799567B2 (en) 2014-10-23 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming source/drain contact
CN105990145B (zh) * 2015-02-04 2019-06-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
KR20170020604A (ko) 2015-08-12 2017-02-23 삼성전자주식회사 반도체 장치의 제조 방법
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9887130B2 (en) * 2016-01-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10269906B2 (en) * 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
US10355095B2 (en) 2017-03-31 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with composite gate helmet
US10879180B2 (en) * 2017-11-28 2020-12-29 Globalfoundries Inc. FinFET with etch-selective spacer and self-aligned contact capping layer
US10497612B2 (en) * 2017-12-11 2019-12-03 Globalfoundries Inc. Methods of forming contact structures on integrated circuit products

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170054004A1 (en) * 2015-08-19 2017-02-23 International Business Machines Corporation Forming a gate contact in the active area
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9824921B1 (en) * 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps

Also Published As

Publication number Publication date
KR102279470B1 (ko) 2021-07-22
TW202017013A (zh) 2020-05-01
US11139203B2 (en) 2021-10-05
CN111081757A (zh) 2020-04-28
US20200126857A1 (en) 2020-04-23
CN111081757B (zh) 2023-04-21
KR20200045943A (ko) 2020-05-06
TWI755641B (zh) 2022-02-21

Similar Documents

Publication Publication Date Title
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE112016003961B4 (de) Vertikale Transistoren und Verfahren zur Herstellung derselben
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102007020258B4 (de) Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102019116998B4 (de) Leitfähiger kontakt mit treppenartigen barriereschichten
DE102015120483A1 (de) Selbstausgerichteter bottom-up-gate-kontakt und top-down-source-drain-kontaktstruktur in der vormetallisierungs-dielektrikumsschicht oder zwischenlevel-dielektrikumsschicht einer integrierten schaltung
DE102014119174B4 (de) Halbleitervorrichtung mit mehrfach-schwellspannung und verfahren zu ihrer herstellung
DE102014019988B3 (de) In serie verbundene transistorstruktur
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102019109861A1 (de) Gatestapel-Behandlung
DE102013108147A1 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102010002411A1 (de) Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102019215248A1 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102007041206B4 (de) Halbleiterbauelement und Verfahren zum selbstjustierten Entfernen eines high-k Gatedielektrikums über einem STI-Gebiet
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102019109980A1 (de) Verfahren und vorrichtung zum herstellen von metall-gate-elektroden für transistoren
DE102021108764A1 (de) Halbleitende metalloxidtransistoren mit einem strukturierten gate und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication