DE102012101875B4 - Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte - Google Patents

Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte Download PDF

Info

Publication number
DE102012101875B4
DE102012101875B4 DE102012101875.8A DE102012101875A DE102012101875B4 DE 102012101875 B4 DE102012101875 B4 DE 102012101875B4 DE 102012101875 A DE102012101875 A DE 102012101875A DE 102012101875 B4 DE102012101875 B4 DE 102012101875B4
Authority
DE
Germany
Prior art keywords
semiconductor substrate
gate structures
dummy gate
forming
epitaxial growth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102012101875.8A
Other languages
English (en)
Other versions
DE102012101875A1 (de
Inventor
Ming-Feng Shieh
Chang-Yun Chang
Chen Hsin-Chih
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102012101875A1 publication Critical patent/DE102012101875A1/de
Application granted granted Critical
Publication of DE102012101875B4 publication Critical patent/DE102012101875B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Abstract

Verfahren für das Ausbilden einer Halbleitervorrichtung mit folgenden Schritten:- Bereitstellen eines Halbleitersubstrats (210);- Ausbilden von mehreren Dummygatestrukturen (220) auf dem Halbleitersubstrat (210);- Ausbilden von Seitenwandabstandshaltern (230) an Seitenwänden der Dummygatestrukturen (220);- Ausbilden von mehreren epitaktischen Wachstumsbereichen (240) zwischen den Dummygatestrukturen (220);- Ausbilden einer dielektrischen Zwischenschicht (250) auf den mehreren epitaktischen Wachstumsbereichen (240);- Entfernen einer der Dummygatestrukturen (220) nach dem Ausbilden der dielektrischen Zwischenschicht (250), um einen Isolationsgraben (260) auszubilden;- Füllen des Isolationsgrabens (260) mit einer dielektrischen Schicht, um eine Isolationsstruktur (275) auszubilden;- Entfernen oberer Bereiche der dielektrischen Schicht und der dielektrischen Zwischenschicht (250), so dass sich eine im Wesentlichen ebene Oberfläche der Isolationsstruktur (275) und der dielektrischen Zwischenschicht (250) ergibt;- Entfernen der verbleibenden Dummygatestrukturen (220), um Gategräben (280) auszubilden; und- Ausbilden von Gatestrukturen in den Gategräben (280).

Description

  • Wenn eine Halbleitervorrichtung, wie ein MOSFET (Metalloxid-Halbleiter-Feldeffekttransistor, MOS-Feldeffekttransistor) durch verschiedene Techniken verkleinert wird, werden verschiedene Vorgehensweisen verwendet, um die Leistung der Vorrichtung zu verbessein, wie durch die Verwendung eines dielektrischen Materials mit hoher k-Zahl (Dielektrizitätszahl, high-k(HK)dielectric material) und Metallgate(MG)-Elektrodenstrukturen, durch Verspannungstechniken (strain engineering), 3-D Gatetransistoren und UTB-SOI-Strukturen (ultra-thin body semiconductor-on-insulator structures). Beispielsweise wird durch das Anwenden einer Substratverspannungstechnik eine bessere Leistungsfähigkeit der Vorrichtung erreicht, indem eine Spannung in einen Transistorkanal moduliert wird, was die Mobilität (zum Beispiel eine Elektronen- oder Lochmobilität) und damit die Leitfähigkeit durch den Kanal erhöht. Beispielsweise wird bei der Verspannungstechnik eine epitaxiale Siliziumgermanium(SiGe)- oder Siliziumphosphor(SiP)-Schicht entsprechend in Source- und Drainbereichen von FET-Vorrichtungen des p-Typs (PFET) oder FET-Vorrichtungen des n-Typs (NFET) ausgebildet.
  • Mit der Verkleinerung der Abmessungen der Vorrichtung und der Erhöhung der Dichte der Vorrichtung kann die Beschaffenheit von benachbarten Strukturen beeinflusst werden. Obwohl existierende Ansätze im Allgemeinen für den beabsichtigten Zweck ausreichend sind, sind sie nicht in jeder Hinsicht vollständig zufriedenstellend. Wenn beispielsweise eine flache Grabenisolation (shallow trench isolation, STI) vor dem Ausbilden einer nahegelegen SiGe-Epitaxiestruktur ausgebildet wird, kann die resultierende SiGe-Epitaxiestruktur verschieden von einer anderen SiGe-Epitaxiestruktur sein, die weiter von der STI entfernt ist.
  • US 6 204 137 B1 zeigt ein Verfahren zum Ausbilden einer Halbleitervorrichtung, bei dem zunächst Isolationsstrukturen in entsprechenden Gräben in einem Substrat hergestellt werden, die über die Oberfläche des Substrats vorstehen. Anschließend wird zwischen den Isolationsstrukturen ein Dummygate ausgebildet, das als Maske bei einem nachfolgenden Ionenimplantationsprozess dient. Anschließend werden an dem Dummygate und den Isolationsstrukturen Seitenwandabstandshalter ausgebildet, die während eines zweitem Ionenimplantationsprozesses ebenfalls als Masken dienen. Zur Ausbildung von Source- und Drain-kontakten werden auf dem Halbleitersubstrat zwischen dem Seitenwandabstandshaltern epitaktische Wachstumsbereiche gebildet. Anschließend wird eine dielektrische Zwischen-schicht über dem Substrat und den epitaktischen Wachstumsbereichen ausgebildet. Nach dem Entfernen des Dummygates wird schließlich eine Gateoxydschicht und darauf eine Gateelektrode hergestellt.
  • Aus der US 2011 / 0 287 600 A1 ist eine weitere Halbleitervorrichtung bekannt, bei der auf einem Substrat, das mit einer in einem Graben angeordneten Isolationsstruktur versehen ist, Gate- und Dummygatestrukturen ausgebildet werden. Die Gate- und Dummygatestrukturen sind jeweils mit Seitenwandabstandshaltern versehen. Auf dem Substrat zwischen den Ga-te- und Dummygatestrukturen werden epitaktische Wachstumsbereiche ausgebildet. Nach dem Ausbilden einer Ätzstoppschicht und einer dielektrischen Zwischenschicht werden die epitaktischen Wachstumsbereiche sowie die Gatestruktur mit entsprechenden Kontakten versehen, die sich durch die Ätzstoppschicht und die dielektrische Zwischenschicht erstrecken.
  • Die US 6 110 787 A betrifft ein Verfahren zum Herstellen einer MOS-Vorrichtung die eine Gatestruktur auf einem Substrat zwischen Isolationsstrukturen aufweist, die sich in entsprechenden Gräben im Substrat erstrecken und über die Oberfläche des Substrats vorstehen. Die Seitenwände der Isolationsstrukturen und der Gatestruktur sind mit Seitenwandabstandshaltern versehen, zwischen denen epitaktiale Wachstumsbereiche zur Ausbildung von Source und Drain Bereichen vorgesehen sind. Eine dielektrische Zwischenschicht ist auf der gesamten Oberfläche der resultierenden Struktur ausgebildet.
  • Die US 2010/0052065 A1 zeigt eine weitere Halbleitervorrichtung, bei der auf einem Substrat aktive Bereiche und Dummybereiche vorgesehen sind. In den aktiven Bereichen sind sowohl Betriebsstrukturen als auch Dummygatestrukturen angeordnet. Die aktiven Bereiche und die Dummybereiche werden durch Isolationsstrukturen in Gräben im Substrat voneinander getrennt.
  • Die US 2004/0038466 A1 beschreibt eine Halbleitervorrichtung mit mehreren Gatestrukturen, auf deren Oberseite und Seitenwänden eine Siliziumdioxidschicht und eine Siliziumnitridschicht ausgebildet sind. Eine dielektrische Zwischenschicht ist auf den Gatestrukturen und dem Halbleitersubtrat vorgesehen. Die dielektrische Zwischenschicht bedeckt dabei auch flache Graben-Isolationsstrukturen (STI-Strukturen), die teilweise im Halbleitersubstrat eingebettet sind und teilweise über die Oberfläche des Halbleitersubstrats hervorstehen.
  • Die vorliegende Erfindung stellt ein Herstellungsverfahren einer Halbleitervorrichtung mit einem „Isolation zuletzt“-Verfahren bereit. Die Aufgabe der Erfindung wird durch den Gegenstand des unabhängigen Anspruchs gelöst. Vorteilhafte Ausgestaltungen und Weiterbildungen sind Gegenstand abhängiger Ansprüche.
  • Mittels der Erfindung kann eine Halbleitervorrichtung hergestellt werden, die Halbleitervorrichtung umfassend mehrere Gatestrukturen, die auf einem Halbleitersubstrat angeordnet sind, mehrere Gateseitenwand-Abstandshalter aus einem dielektrischen Material, die auf entsprechenden Seitenwänden der mehreren Gatestrukturen ausgebildet sind, eine dielektrische Zwischenschicht (ILD), die auf dem Halbleitersubstrat und den Gatestrukturen angeordnet ist, eine Isolationsstruktur, die im Halbleitersubstrat eingebettet ist und sich zur ILD erstreckt, und einen Seitenwandabstandshalter aus dem dielektrischen Material, der auf Seitenwänden von Erstreckungsbereichen der Isolationsstruktur angeordnet ist.
  • Mittels der Erfindung kann eine Halbleitervorrichtung hergestellt werden, die Halbleitervorrichtung umfassend ein Siliziumsubstrat, zwei high-k/Metallgate(HK/MG)-Strukturen, also Strukturen mit einem high-k Dielektrikum und einem Metallgate, die auf dem Substrat angeordnet sind, mehrere Gateabstandshalter aus einem dielektrischen Material, die auf entsprechenden Seitenwänden der HK/MG-Strukturen ausgebildet sind, mehrere epitaktische Silizium-Germanium-Wachstumsbereiche, die zwischen den zwei HK/MG-Strukturen ausgebildet sind, wobei die epitaktischen Wachstumsbereiche untereinander eine gleichmäßige Form und gleichmäßige Kristallfacetten aufweisen, eine dielektrische Zwischenschicht ILD, die auf dem Halbleitersubstrat und den HK/MG-Strukturen angeordnet ist, eine Isolationsstruktur, die in das Halbleitersubstrat eingebettet ist und sich zur ILD erstreckt, und einen Seitenwandabstandshalter aus einem dielektrischen Material, der auf Seitenwänden eines Erstreckungsbereichs der Isolationsstruktur angeordnet ist.
  • Die vorliegende Erfindung kann am besten anhand der folgenden detaillierten Beschreibung verstanden werden, wenn sie zusammen mit den angehängten Zeichnungen gelesen wird. Es wird angemerkt, dass gemäß den Standardpraktiken in der Industrie verschiedene Strukturen nicht maßstabsgetreu gezeichnet sind und lediglich für Illustrationszwecke verwendet werden. Tatsächlich können die Dimensionen der verschiedenen Strukturen für eine Klarheit der Diskussion beliebig verkleinert oder vergrößert sein.
    • 1 ist ein Flussdiagramm eines beispielhaften Verfahrens für die Herstellung einer Halbleitervorrichtung mit einer Isolationsstruktur gemäß der vorliegenden Erfindung.
    • 2 bis 6 sind Schnittansichten einer beispielhaften Ausführungsform einer Halbleitervorrichtung mit einer Isolationsstruktur gemäß der vorliegenden Erfindung in verschiedenen Herstellungsstufen.
  • Es ist zu verstehen, dass die vorliegende Beschreibung viele verschiedene Ausführungsformen oder Beispiele zeigt, um verschiedene Strukturen der Erfindung zu realisieren. Beispiele von Komponenten und Anordnungen werden nachfolgend beschrieben, um die vorliegende Erfindung zu veranschaulichen. Weiter kann das Durchführen eines ersten Prozesses vor einem zweiten Prozess in der folgenden Beschreibung Ausführungsformen umfassen, bei denen der zweite Prozess unmittelbar nach dem ersten Prozess ausgeführt wird, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Prozesse zwischen dem ersten und dem zweiten Prozess ausgeführt werden können. Verschiedene Strukturen können zum Zwecke einer Einfachheit und einer Klarheit mit verschiedenen Abmessungen beliebig gezeichnet sein. Des Weiteren kann das Ausbilden einer ersten Struktur über oder auf einer zweiten Struktur in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die erste und die zweite Struktur mit direktem Kontakt zueinander ausgebildet werden, und kann auch Ausführungsformen umfassen, bei denen weitere Strukturen zwischen der ersten und der zweiten Struktur ausgebildet sind, so dass die erste und die zweite Struktur nicht in direktem Kontakt sind.
  • 1 ist ein Flussdiagramm einer beispielhaften Ausführungsform eines Verfahrens 100 für das Herstellen einer Halbleitervorrichtung mit einer Isolationsstruktur, die gemäß verschiedenen Aspekten der vorliegenden Offenbarung konstruiert ist. Das Verfahren 100 wird unter Bezugnahme auf die 2 bis 6 beschrieben. Bei einem „Gate zuletzt‟ (oder Ersatzgate)-Schema wird zuerst eine Dummy(Opfer)-Gatestruktur ausgebildet, gefolgt von einem normalen CMOS(komplementärer Metalloxidhalbleiter, complementary metal oxide semiconductor)-Prozessfluss bis zur Abscheidung einer dielektrischen Zwischenschicht (ILD). Einige der Dummygatestrukturen können dann entfernt werden, um die Grabenisolationsstrukturen auszubilden. Die verbleibenden Dummygates werden durch Metallgatestrukturen ersetzt. Demnach wird das Gate nach Hochtemperaturprozessen ausgebildet, die beim Ausbilden verwendet wurden, beispielsweise der Source- und Drainbereiche.
  • Bezug nehmend auf die 1 und 2 beginnt das Verfahren 100 bei Schritt 102 mit dem Bereitstellen eines Halbleitersubstrats 210. Das Halbleitersubstrat 210 umfasst Silizium. Alternativ oder zusätzlich kann das Substrat 210 andere elementare Halbleiter umfassen, wie Germanium, einen Verbundhalbleiter, wie SiC, Galliumarsenid, Indiumarsenid oder Indiumphosphid, oder einen Verbindungshalbleiter wie SiGe, Siliziumgermaniumcarbid, Galliumarsenidphosphid oder Galliumindiumphosphid.
  • In einer Ausführungsform umfasst das Substrat 210 eine Epitaxialschicht. Das Substrat kann eine Epitaxialschicht über einem Massen- oder Trägerhalbleiter (bulk semiconductor) aufweisen. Weiter kann das Substrat 210 für eine Erhöhung der Leistungsfähigkeit verspannt sein. Beispielsweise kann die Epitaxialschicht ein Halbleitermaterial umfassen, das verschieden von dem des Massenhalbleiters ist, wie eine Schicht aus SiGe, die das Massen- oder Trägersilizium überdeckt, oder eine Schicht aus Silizium, die das Massen-SiGe überdeckt und durch einen Prozess ausgebildet ist, wie z.B. selektives Epitaxialwachsen (selective epitaxial growth, SEG). Des Weiteren kann das Substrat 210 eine Halbleiter-Auf-Isolator (semiconductor-on-insulator, SOI)-Struktur umfassen. In einer Ausführungsform kann das Substrat eine vergrabene Oxid(buried oxide, BOX)-Schicht umfassen, die durch einen Prozess ausgebildet ist, wie durch SIMOX (separation by implanted oxygen) oder andere geeignete Technologien, wie Waferbonding und Schleifen. Tatsächlich können verschiedene Ausführungsformen jede beliebige Substratstruktur und Materialien umfassen. In der gezeigten Ausführungsform umfasst das Substrat 210 ein Siliziumsubstrat.
  • Weitergehend zu 2 umfasst das Substrat 210 auch eine durch Abscheidung, Strukturieren und Ätztechniken ausgebildete Gatestruktur 220. Die Gatestruktur 220 umfasst eine Dummygatestruktur. Eine Dummygatestruktur bezeichnet eine Gatestruktur, die in einem nachfolgenden Prozess durch ein Metallgate ersetzt wird. In der gezeigten Ausführungsform umfasst die Gatestruktur 220 eine Dummygatestulctur. Die Dummygatestruktur 220 umfasst eine Dummygateschicht 221, wie Polysilizium, amorphes Silizium oder andere geeignete Materialien. Die Dummygateschicht 221 wird durch Abscheidungstechniken auf dem Substrat 210 abgeschieden. Beispielsweise kann Silan (Siliziumwasserstoff, SiH4), Di-Silan (Si2H6) oder Di-Chlorsilan (SiC12H4) als chemisches Gas bei einem chemischen Dampfabscheidungs(CVD)-Prozess verwendet werden, um eine Polysiliziumschicht auszubilden. Alternativ oder zusätzlich wird eine Dummyoxidschicht (nicht gezeigt), wie Siliziumdioxid (SiO2) oder Silizium-Oxinitrid (SiON) auf dem Substrat 210 durch thermische Oxidation, chemische Oxidation, CVD, atomare Schichtenabscheidung (atomic layer deposition, ALD) oder jedes geeignete Verfahren aufgebracht. Danach wird die Dummygateschicht 221 auf der Dummyoxidschicht aufgebracht.
  • Eine Ätzstopschicht (ESL) 223 kann zusätzlich auf der Dummygateschicht 221 ausgebildet sein. In einer Ausführungsform umfasst die ESL 223 eine Kontaktätzstopschicht (contact ESL, CESL). Die ESL 223 kann Siliziumnitrid, Siliziumoxid, SiON und/oder andere geeignete Materialien umfassen. Die ESL 223 kann durch plasmaverstärktes chemisches Dampfabscheiden (PECVD), physikalische Dampfabscheidung (PVD), und/oder andere bekannte Abscheidungsprozesse ausgebildet werden. In der gezeigten Ausführungsform umfasst die Dummygateschicht 221 Polysilizium und die ESL 223 umfasst Siliziumnitrid. Die ESL 223 und die Dummygateschicht 221 werden auf herkömmliche Weise strukturiert und geätzt, um die Dummygatestruktur 220 auszubilden, die in 2 gezeigt ist.
  • Das Substrat 210 kann verschiedene dotierte Bereiche umfassen, wie p-Bereiche (p-wells) und n-Bereiche (n-wells) (nicht gezeigt), die durch Implantationstechniken ausgebildet werden. Beispielsweise ist ein Bereich des Substrats 210 p-dotiert und ein p-Bereich ist dort ausgebildet, wo eine n-Kanalvorrichtung hergestellt werden wird. Ähnlich ist ein anderer Bereich des Substrats 210 n-dotiert und ein n-Bereich ist dort ausgebildet, wo eine p-Kanalvorrichtung hergestellt werden wird. Die dotierten Bereiche sind mit Dotierstoffen des p-Typs dotiert, wie Bor oder Borfluorid (BF2), und/oder mit Dotierstoffen des n-Typs, wie Phosphor oder Arsen. Die dotierten Bereiche können direkt auf dem Substrat 210 in einer p-Bereichsstruktur, einer n-Bereichsstruktur, einer Doppelbereichsstruktur oder unter Verwendung einer erhöhten Struktur ausgebildet werden.
  • Das Substrat 210 kann weiter Source- und Drain(S/D)-Bereiche (nicht gezeigt) umfassen, die durch eine geeignete Technik ausgebildet werden, wie durch eine oder mehrere Ionenimplantationen. Die S/D-Bereiche können weiter leicht dotierte Source/Drain(LDD)-Bereiche umfassen, die im Wesentlichen mit der Dummygatestruktur 220 ausgerichtet sind, und stark dotierte S/D-Bereiche (nicht gezeigt), die im Wesentlichen mit zugeordneten Gateseitenwand-Abstandshaltern 230 ausgerichtet sind, die untenstehend beschrieben sind.
  • Typischerweise werden nach dem Ausbilden der S/D-Bereiche ein oder mehrere Temperungs- oder Wärmebehandlungsprozesse durchgeführt, um die S/D-Bereiche zu aktivieren. Die Temperungs- oder Wärmebehandlungsprozesse umfassen schnelles thermisches Tempern (rapid thermal annealing, RTA), Lasertemperungsprozesse, oder andere geeignete Wärmebehandlungsprozesse. Beispielsweise kann ein thermischer Hochtemperatur-Wärmebehandlungsschritt Temperaturen im Bereich von 900 °C bis 1100 °C verwenden, obwohl andere Ausführungsformen Temperaturen in einem anderen Bereich verwenden können. Alternativ kann das Hochtemperaturtempern thermische Prozesse mit Temperaturen oberhalb von 600 °C umfassen. Diese Ausführungsform kann weiter eine „Spitzen“- oder „spike“-Wärmebehandlung umfassen, die eine sehr kurze Zeitdauer aufweist.
  • Bezug nehmend auf 2 sind Gateseitenwand-Abstandshalter 230 an den Seitenwänden der Dummygatestruktur 220 ausgebildet. Die Gateseitenwand-Abstandshalter 230 umfassen im Allgemeinen ein dielektrisches Material, wie Siliziumoxid. Alternativ können die Gateseitenwand-Abstandshalter 230 Siliziumnitrid, SiC, SiON oder Kombinationen davon umfassen. Typische Ausbildungsverfahren für die Gateseitenwand-Abstandshalter 230 umfassen das Abscheiden eines dielektrischen Materials über der Gatestruktur 220 und anschließendes anisotropes Zurückätzen des dielektrischen Materials. In der gezeigten Ausführungsform umfasst der Gateseitenwand-Abstandshalter 230 Siliziumnitrid.
  • Das Verfahren 100 fährt mit Schritt 104 fort, bei dem ein epitaktischer Wachstumsprozess durchgeführt wird, um einen epitaktischen Wachstumsbereich 240 auszubilden, wie in 3 gezeigt ist. Beispielsweise kann ein Ätzprozess durchgeführt werden, um das Substrat 210 zuerst zu vertiefen, und dann wird der epitaktische Wachstumsprozess angewendet, um den epitaktischen Wachstumsbereich 240 im vertieften Bereich zu wachsen. Der epitaktische Wachstumsbereich 240 kann SiGe in einem PMOS(p-type metal oxide semiconductor)-Transistorbereich des Substrats 210 umfassen (während ein NMOS(n-type metal oxide semiconductor)-Transistorbereich von einer strukturierten Maskenschicht geschützt wird). In einer Ausführungsform kann der epitaktische Wachstumsbereich 240 SiP in einem NMOS-Transistorbereich umfassen (während ein PMOS-Transistorbereich durch eine strukturierte Maskenschicht geschützt wird). Alternativ sind zahlreiche andere Ausführungen von epitaktischen Wachstumsmaterialien für die Prozesse geeignet, wie Silizium, Germanium, Galliumarsenid, Indiumphosphid, Siliziumcarbid, Siliziumphosphid, Siliziumcarbidphosphid und/oder andere geeignete Materialien.
  • Weiter kann der epitaktische Wachstumsbereich 240 so ausgebildet sein, dass er sich über die Oberfläche des Substrats 210 erhebt. In einigen Ausführungsformen kann der epitaktische Wachstumsbereich 240 in-situ mit p-Störstellen dotiert werden, wie Bor oder Indium, um S/D-Bereiche der PMOS-Vorrichtung auszubilden.
  • Der epitaktische Wachstumsbereich 240 kann einen geeigneten Stress- oder Spannungseffekt für zugeordnete Kanäle des PFET und NFET bereitstellen, um die Ladungsträgerbeweglichkeit zu erhöhen und eine Leistungsfähigkeit der Vorrichtung zu verbessern. Um einen konsistenten (gleichmäßigen) Verspannungseffekt bei allen entsprechenden Kanälen zu erreichen, ist ein gleichmäßiger epitaktischer Wachstumsbereich 240 in allen jeweiligen Bereichen gewünscht.
  • Weitergehend mit 3 wird eine dielektrische Zwischenschicht (ILD) 250 auf dem Substrat 210 und der Dummygatestruktur 220 ausgebildet. Die ILD-Schicht 250 kann durch CVD, CVD mit hochdichtem Plasma, Schleuderverfahren, Sputtern und/oder anderen geeigneten Verfahren ausgebildet werden. Die ILD-Schicht 250 umfasst typischerweise Siliziumoxid, SiON, ein Material mit kleiner k-Zahl, Tetraethylorthosilikat(TEOS)-Oxid, undotiertes Siliziumglas oder dotiertes Siliziumoxid wie Borphosphosilikatglas (BPSG), FSG (fused silica glass), Phosphosilikatglas (PSG), Bor dotiertes Siliziumglas (BSG), und/ oder andere geeignete Materialien. In der gezeigten Ausführungsform umfasst die ILD-Schicht 250 Siliziumoxid. Ein chemisch-mechanischer Polier(CMP)-Prozess kann anschließend durchgeführt werden, um eine überschüssige ILD-Schicht 250 zu entfernen, so dass die ESL 223 der Dummygatestruktur 220 freigelegt wird. Der CMP-Prozess kann eine im Wesentlichen ebene Oberfläche für die Gatestruktur 220 und die ILD-Schicht 250 bereitstellen, wie in 3 gezeigt ist.
  • Das Verfahren 100 geht weiter zu Schritt 106 mit dem Ausbilden eines Isolationsgrabens 260 durch Strukturierungs- und Ätztechniken, wie in 4 gezeigt ist. Der Isolationsgraben 260 wird an einer Stelle ausgebildet, wo eine elektrische Isolation erforderlich ist, um jeden aktiven Bereich oder jede Vorrichtung abzutrennen. Ein lithographischer Prozess bildet eine strukturierte Photoresistschicht 255 aus, und danach wird ein Ätzen durchgeführt, indem die strukturierte Photoresistschicht 255 als eine Ätzmaske verwendet wird. Ein beispielhafter Lithographieprozess kann die Prozessschritte Beschichten, leichtes Erhitzen, Maskenausrichten, Musterfreilegen, Härten nach dem Freilegen, Entwickeln des Photoresists und starkes Erhitzen der Photoresistschicht 255 umfassen. Der Lithographieprozess kann auch durch andere geeignete Verfahren durchgeführt oder ersetzt werden, wie maskenlose Photolithographie, Elektronenstrahlschreiben, Ionenstrahlschreiben oder molekulares Bedrucken.
  • Bezug nehmend auf 4 wird ein Ätzprozess durchgeführt, um den Isolationsgraben 260 auszubilden. Der Ätzprozess kann mehrere Ätzabschnitte umfassen. Ein Ätzprozess mit mehreren Abschnitten kann mehrere Ätzstufen umfassen, und jede Ätzstufe kann ihre eigene Ätzselektivität und ihr eigenes Ätzprofil aufweisen. Die Ätzselektivität beschreibt ein Ätzratenverhältnis zwischen verschiedenen geätzten Materialien. Eine Ätzselektivität kann ausgewählt werden, indem ein Ätztyp und Ätzbedingungen gewählt werden.
  • Der Ätzprozess kann Trockenätzen, Nassätzen oder eine Kombination von Trocken- und Nassätzen umfassen. Beispielsweise kann ein Nassätzprozess das Aussetzen an eine Lösung mit Hydroxid (zum Beispiel Ammoniumhydroxid), deionisiertes Wasser, und/oder anderen geeignete Ätzlösungen umfassen. In einem anderen Beispiel kann ein Trockenätzprozess ein Plasmaätzsystem mit mittlerer Dichte verwenden, das mit einer kapazitiv gekoppelte Plasmaquelle ausgestattet ist, oder ein Plasmaätzsystem mit hoher Dichte, das entweder mit induktivem, Helicon- oder Elektronencyclotronresonanz(ECR)-Plasmas ausgestattet ist, wobei das ausgesetzte Material durch das Plasma anisotrop entfernt wird.
  • Die Mechanismen für das Ätzen in einem Trockenätzprozess können eine physikalische Basis (zum Beispiel Glimmentladungssputtern oder Ionendünnen) oder eine chemische Basis (zum Beispiel reines Plasmaätzen) oder eine Kombination von beiden (zum Beispiel reaktives Ionenätzen oder RIE) aufweisen. Das Sputtern beruht auf einer Richtung der einfallenden energetischen Ionen, um auf eine hoch anisotrope Weise zu ätzen. Reines Plasmaätzen, also ein Ätzen auf chemischer Basis, kann eine sehr hohe Selektivität aufweisen, sowohl bezüglich eines Maskenmaterials als auch darunter liegender Schichten, und es ätzt typischerweise auf isotrope Weise. Eine Kombination von physikalischen und chemischen Ätzmechanismen bietet ein kontrolliertes anisotropes Ätzen mit adäquater Selektivität.
  • Beispielsweise kann ein erster Ätzvorgang die ESL 223 und eine Dummygateschicht 221 der freigelegten Dummygatestruktur 220 entfernen. Bei einem anderen Beispiel kann der erste Ätzvorgang ausgelegt sein, um einen Bereich der ILD 250 zu entfernen, um eine weite Öffnung für einen oberen Bereich des Isolationsgrabens 260 zu erzeugen. Ein Profil eines Isolationsgrabens mit einer weiten Öffnung in seinem oberen Bereich kann ein Formanpassungsvermögen eines nachfolgenden Prozesses für das Aufbringen eines Films erhöhen. In der gezeigten Ausführungsform umfasst der erste Ätzvorgang ein Plasmaätzen mit Fluor, wie CF4 und SF6, in Kombination mit O2 und He.
  • Der Ätzprozess fährt mit einem zweiten Ätzvorgang fort, um das Substrat 210 durch die durch den ersten Ätzvorgang definierten Öffnungen zu ätzen. Der zweite Ätzvorgang kann eine andere Selektivität aufweisen, um einen Verlust der ILD 250 während des Ätzens zu minimieren. Währenddessen wird der zweite Ätzvorgang als ein selbstausrichtender Ätzprozess durchgeführt, da die Gateseitenwand-Abstandshalter 230 ein seitliches Ätzen verlangsamen, und das Grabenprofil richtet sich zu den Gateseitenwand-Abstandshaltern 230 aus.
  • Der zweite Ätzvorgang kann einen anderen Trockenätzprozess umfassen, der eine Kombination von HBr/Cl2/O2/He verwendet. Das Trockenätzen entfernt Bereiche des Substrats 210, die ungeschützt oder im Isolationsgraben 260 freigelegt sind. Dementsprechend kann der zweite Ätzvorgang ein Grabenprofil ausbilden, das im Wesentlichen mit dem Rand des Gateseitenwand-Abstandshalters 230 ausgerichtet ist, indem ein gerichtetes/anisotropes Ätzen verwendet wird. Der Isolationsgraben 260 umfasst einen oberen Bereich und einen unteren Bereich. Der obere Bereich des Isolationsgrabens 260 befindet sich in der ILD-Schicht 250 und der untere Bereich des Isolationsgrabens 260 befindet sich im Halbleitersubstrat 210. Anschließend wird die Photoresistschicht 255 durch einen Prozess, wie Nassabziehen oder O2-Plasmaaschen, entfernt.
  • Das Verfahren 100 fährt mit Schritt 108 und dem Ausbilden einer Isolationsstruktur 275 fort, wie in den 5 und 6 gezeigt ist. Die Isolationsstruktur 275 wird durch Füllen eines dielektrischen Materials wie Siliziumoxid, Siliziumnitrid oder Silizium-Oxinitrid in den Isolationsgraben 260 ausgebildet. Die Isolationsstruktur 275 kann eine Multischichtstruktur aufweisen, wie eine thermische Oxidauskleidungsschicht, die mit Siliziumnitrid oder Siliziumoxid gefüllt ist. Weiter kann die Isolationsstruktur 275 bei dieser Ausführungsform eine Grabenauskleidung durch ALD umfassen und mit einem dielektrischen Film durch CVD, PVD, thermische Oxidation oder Kombination davon gefüllt werden. In der gezeigten Ausführungsform umfasst die Isolationsstruktur 275 eine Siliziumoxidauskleidung (nicht gezeigt), die durch eine ALD-Technik ausgebildet wird, und eine durch eine CVD-Technik ausgebildete Siliziumoxidschicht 270, wie in 5 gezeigt ist. Die Isolationsstruktur 275 ist in das Halbleitersubstrat 210 eingebettet und erstreckt sich zur ILD 250.
  • Die Isolationsstruktur 275 umfasst den Gateseitenwand-Abstandshalter 230 als ihren verlängerten Bereich.
  • Ein CMP-Prozess wird typischerweise durchgeführt, um eine überschüssige Siliziumoxidschicht 270 und einen Teil des oberen Bereichs der Isolationsstruktur 275 zu entfernen. Der CMP-Prozess kann eine im Wesentlichen ebene Oberfläche für den verbleibenden Teil der Dummygatestruktur 220, der ILD-Schicht 250 und der Isolationsstruktur 275 bereitstellen. Ein Ätzprozess kann den verbleibenden Teil der Dummygateschicht 221 entfernen, um einen Gategraben 280 auszubilden, wie in 6 gezeigt ist. Die verbleibende Dummygateschicht 221 kann durch Trockenätzen, Nassätzen oder eine Kombination von Trocken- und Nassätzen auf herkömmliche Weise entfernt werden.
  • Das Verfahren 100 fährt mit Schritt 110 mit dem Ausbilden einer Gatestruktur gemäß einem Ersatzgate(replacement gate, RPG)-Prozessfluss fort. Das typische Ausbilden einer Gatestruktur beim RPG-Prozessfluss umfasst das Ausbilden einer Grenzflächenschicht (interfacial layer, IL), einer HK dielektrischen Schicht, von Deckschichten, Austrittsarbeit-Metallschichten, Barriereschichten und einer Elektrodenmetallschicht auf dem Gategraben 280. Ein CMP-Prozess kann durchgeführt werden, um überschüssige Metallschichten zu entfernen. Der CMP-Prozess kann eine hohe Selektivität von den Metallschichten bis hin zur dielektrischen Schicht 250 aufweisen. Der CMP-Prozess stellt eine im Wesentlichen ebene Oberfläche für die Metallgatestapel und die ILD-Schicht 250 bereit.
  • Das Verfahren 100 kann weiter das Ausbilden einer Multischichtverbindung umfassen. Die Multischichtverbindung (nicht gezeigt) kann vertikale Verbindungen umfassen, wie herkömmliche Durchgangskontaktierungen oder Kontakte, und horizontale Verbindungen, wie Metallleitungen. Die verschiedenen Verbindungsstrukturen können verschiedene leitende Materialien verwenden, umfassend Kupfer, Wolfram und Silizium. In einem Beispiel wird ein Damaszierungsprozess verwendet, um Multischicht-Verbindungsstrukturen mit Kupfer auszubilden. In einer anderen Ausführungsform wird Wolfram verwendet, um einen Wolframstopfen in den Kontaktlöchern auszubilden.
  • Bezug nehmend auf 6 bildet das Verfahren 100 bei der gezeigten Ausführungsform die Isolationsstruktur 275 mit einem Profil aus, das eine Selbstausrichtungseigenschaft bezüglich des Gateseitenwand-Abstandshalters 230 aufweist. Es kann einen größeren Spielraum für eine Vorrichtungsgestaltung und ein Prozesskontrollfenster für das Herstellen einer Vorrichtung mit hoher Gatedichte lassen, wie einem CMOS oder FinFET (Fin field-effect transistor). Die Isolationsstruktur 275 wird nach dem Ausbilden des epitaktischen Wachstumsbereichs 240 ausgebildet. Dementsprechend kann das Verfahren 100 als ein „Isolation zuletzt“-Verfahren bezeichnet werden. Bei diesem „Isolation zuletzt“-Verfahren kann jeder der epitaktischen Wachstumsbereiche 240 für eine im Wesentlichen ähnliche epitaktische Wachstumsumgebung in allen entsprechenden Bereichen ausgebildet werden. Die epitaktische Wachstumsumgebung kann die Dimensionen der epitaktischen Wachstumsabstände, die Arten der für das epitaktische Wachstum verwendeten Materialien und existierende benachbarte Strukturen (zum Beispiel der Gateseitenwand-Abstandshalter 230), bei denen der epitaktische Wachstumsbereich 240 gewachsen wird, umfassen.
  • Eine konsistente epitaktische Wachstumsumgebung verbessert einen gleichmäßigen epitaktischen Wachstumsbereich 240 in allen entsprechenden Bereichen hinsichtlich Größe, Form, Kristallfacetten und Kristallausrichtungen des epitaktischen Wachstumsbereichs 240. Ein gleichmäßiger epitaktischer Wachstumsbereich 240 in allen entsprechenden Bereichen kann einen gleichmäßig verspannten Kanal in allen entsprechenden Bereichen verbessern, was eine Konsistenz bei Kanaleigenschaften, wie Ladungsträgermobilität in allen entsprechenden Bereichen erhöhen kann. Er kann auch gleichmäßige Aufsatzbedingungen für das Ausbilden zukünftiger S/D-Kontakte in allen entsprechenden Bereichen bereitstellen und kann dementsprechend ein Kontaktwiderstand (zu Silizium), Einheitlichkeit und Zuverlässigkeit verbessern.
  • Eine andere Ausführungsform der vorliegenden Erfindung verwendet das „Isolation zuletzt“-Verfahren bei einer FinFET-Vorrichtung, um eine Isolationsstruktur auszubilden. Durch das Anwenden des „Isolation zuletzt“-Verfahrens kann sich das Ende der OD(aktive Bereich)-Leitung im Wesentlichen zum Gateseitenwand-Abstandshalter 230 selbst ausrichten, und kann eine gleichmäßige Verspannung für entsprechende Kanäle bereitstellen. Der epitaktische Wachstumsprozess kann eine im Wesentlichen ähnliche Source-Drain(SA)-Breite bereitstellen und zu gleichmäßigen epitaktischen Wachstumsbereichen in allen entsprechenden Bereichen führen. Der Spielraum für die Vorrichtungsgestaltung und Prozessfenster kann ebenfalls optimiert werden.

Claims (9)

  1. Verfahren für das Ausbilden einer Halbleitervorrichtung mit folgenden Schritten: - Bereitstellen eines Halbleitersubstrats (210); - Ausbilden von mehreren Dummygatestrukturen (220) auf dem Halbleitersubstrat (210); - Ausbilden von Seitenwandabstandshaltern (230) an Seitenwänden der Dummygatestrukturen (220); - Ausbilden von mehreren epitaktischen Wachstumsbereichen (240) zwischen den Dummygatestrukturen (220); - Ausbilden einer dielektrischen Zwischenschicht (250) auf den mehreren epitaktischen Wachstumsbereichen (240); - Entfernen einer der Dummygatestrukturen (220) nach dem Ausbilden der dielektrischen Zwischenschicht (250), um einen Isolationsgraben (260) auszubilden; - Füllen des Isolationsgrabens (260) mit einer dielektrischen Schicht, um eine Isolationsstruktur (275) auszubilden; - Entfernen oberer Bereiche der dielektrischen Schicht und der dielektrischen Zwischenschicht (250), so dass sich eine im Wesentlichen ebene Oberfläche der Isolationsstruktur (275) und der dielektrischen Zwischenschicht (250) ergibt; - Entfernen der verbleibenden Dummygatestrukturen (220), um Gategräben (280) auszubilden; und - Ausbilden von Gatestrukturen in den Gategräben (280).
  2. Verfahren nach Anspruch 1, wobei ein Isolationsgrabenätzen einen ersten Vorgang umfasst, um die Dummygatestruktur (220) zu entfernen und das Halbleitersubstrat (210) für das Ausbilden eines oberen Bereichs des Isolationsgrabens (260) freizulegen.
  3. Verfahren nach Anspruch 2, weiter umfassend einen zweiten Ätzvorgang, um das Halbleitersubstrat (210) zu ätzen und einen unteren Bereich des Isolationsgrabens (260) auszubilden, wobei der zweite Ätzvorgang selbstausrichtend zu Rändern der Seitenwandabstandshalter (230) ist.
  4. Verfahren nach Anspruch 1, wobei der Isolationsgraben (260) mit einem oberen Bereich und einem unteren Bereich ausgebildet wird, und wobei sich der obere Bereich in der dielektrischen Zwischenschicht (250) auf dem Halbleitersubstrat (210) und der untere Bereich im Halbleitersubstrat (210) befindet.
  5. Verfahren nach einem der vorstehenden Ansprüche, wobei in der Halbleitervorrichtung die dielektrische Zwischenschicht (250) auf einer Oberfläche des Halbleitersubstrats angeordnet ist und die dielektrische Zwischenschicht (250) eine Oberfläche aufweist, die von der Oberfläche des Halbleitersubstrats abgewandt ist; die Isolationsstruktur (275) in das Halbleitersubstrat (210) eingebettet ist und sich in die dielektrische Zwischenschicht (250) erstreckt; und die Seitenwandabstandshalter (230) aus dielektrischem Material gebildet und unter anderem an Seitenwänden der Isolationsstruktur (275) ausgebildet sind.
  6. Verfahren nach einem der vorstehenden Ansprüche, wobei in der Halbleitervorrichtung die epitaktischen Wachstumsbereiche (240) unter anderem zwischen der Isolationsstruktur (275) und den Gatestrukturen angeordnet sind.
  7. Verfahren nach einem der vorstehenden Ansprüche, wobei die epitaktischen Wachstumsbereiche (240) die gleiche Form und/oder Kristallfacetten aufweisen.
  8. Verfahren nach einem der vorstehenden Ansprüche, wobei der Isolationsgraben (260) einen ersten Ätzbereich mit einer weiten Öffnung und einen zweiten Ätzbereich mit einer engen Öffnung aufweist, wobei der zweite Ätzbereich unter dem ersten Ätzbereich angeordnet ist und einen oberen Abschnitt über dem Halbleitersubstrat (210) und einem unteren Abschnitt in dem Halbleitersubstrat (210) aufweist, und wobei die Seitenwandabstandshalter (230) unter anderem an Seitenwänden des Isolationsgrabens (260) angeordnet sind.
  9. Verfahren nach einem der vorstehenden Ansprüche, wobei die mehreren Gatestrukturen aus high-k/Metallgate-Material ausgebildet sind.
DE102012101875.8A 2011-12-01 2012-03-06 Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte Active DE102012101875B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/308,671 2011-12-01
US13/308,671 US8735991B2 (en) 2011-12-01 2011-12-01 High gate density devices and methods

Publications (2)

Publication Number Publication Date
DE102012101875A1 DE102012101875A1 (de) 2013-06-06
DE102012101875B4 true DE102012101875B4 (de) 2021-02-18

Family

ID=48431464

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012101875.8A Active DE102012101875B4 (de) 2011-12-01 2012-03-06 Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte

Country Status (4)

Country Link
US (2) US8735991B2 (de)
KR (1) KR101435710B1 (de)
CN (1) CN103137624B (de)
DE (1) DE102012101875B4 (de)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8865595B2 (en) * 2012-01-05 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for forming partially self-aligned trenches
US8969163B2 (en) * 2012-07-24 2015-03-03 International Business Machines Corporation Forming facet-less epitaxy with self-aligned isolation
US8609510B1 (en) * 2012-09-21 2013-12-17 Globalfoundries Inc. Replacement metal gate diffusion break formation
US8829617B2 (en) * 2012-11-30 2014-09-09 International Business Machines Corporation Uniform finFET gate height
US8815685B2 (en) * 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US10170315B2 (en) 2013-07-17 2019-01-01 Globalfoundries Inc. Semiconductor device having local buried oxide
KR102072410B1 (ko) * 2013-08-07 2020-02-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9252272B2 (en) 2013-11-18 2016-02-02 Globalfoundries Inc. FinFET semiconductor device having local buried oxide
US10825738B2 (en) 2013-11-28 2020-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
CN107818943B (zh) * 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
CN104681563B (zh) * 2013-11-28 2018-05-08 中国科学院微电子研究所 半导体装置及其制造方法
US10861748B2 (en) 2013-11-28 2020-12-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
CN104733316B (zh) * 2013-12-20 2018-03-30 中芯国际集成电路制造(上海)有限公司 FinFET器件及其形成方法
CN105097521B (zh) * 2014-05-04 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9793273B2 (en) * 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
US20160093511A1 (en) * 2014-09-25 2016-03-31 Qualcomm Incorporated Multigate transistor device and method of isolating adjacent transistors in multigate transistor device using self-aligned diffusion break (sadb)
KR102264656B1 (ko) 2014-10-17 2021-06-14 삼성전자주식회사 게이트 코어들 및 핀 액티브 코어를 포함하는 반도체 소자 및 그 제조 방법
US9490176B2 (en) 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9859275B2 (en) * 2015-01-26 2018-01-02 International Business Machines Corporation Silicon nitride fill for PC gap regions to increase cell density
KR102259917B1 (ko) 2015-02-23 2021-06-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9711535B2 (en) * 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
KR102271239B1 (ko) 2015-03-23 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9613953B2 (en) * 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
KR102317646B1 (ko) 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
FR3036846B1 (fr) 2015-05-29 2018-06-15 Stmicroelectronics (Crolles 2) Sas Procede d'isolation locale entre des transistors realises sur un substrat soi, en particulier fdsoi, et circuit integre correspondant
US10008493B2 (en) 2015-06-08 2018-06-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102399027B1 (ko) 2015-06-24 2022-05-16 삼성전자주식회사 반도체 장치
KR102410146B1 (ko) 2015-06-26 2022-06-16 삼성전자주식회사 반도체 장치의 제조 방법
US9716041B2 (en) 2015-06-26 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
CN108028277B (zh) * 2015-09-25 2021-12-21 英特尔公司 具有增大的接触面积的半导体器件接触
KR102481427B1 (ko) * 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10157856B2 (en) * 2016-05-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure and fabrication method therefor
US9893070B2 (en) 2016-06-10 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method therefor
DE112016007034T5 (de) * 2016-07-01 2019-03-21 Intel Corporation Trigate- und finfet-bauelemente mit selbstausgerichtetem gate-rand
KR102524806B1 (ko) * 2016-08-11 2023-04-25 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
KR102314134B1 (ko) 2017-03-10 2021-10-18 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
KR102365108B1 (ko) * 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10163904B1 (en) * 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
CN109873035B (zh) * 2017-12-04 2022-09-27 联华电子股份有限公司 半导体元件及其制作方法
US10756085B2 (en) * 2017-12-08 2020-08-25 Qualcomm Incorporated Integrated circuit with metal gate having dielectric portion over isolation area
US10607882B2 (en) 2018-01-17 2020-03-31 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US11062954B2 (en) 2018-01-17 2021-07-13 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10916478B2 (en) * 2018-02-20 2021-02-09 Globalfoundries U.S. Inc. Methods of performing fin cut etch processes for FinFET semiconductor devices
US10943822B2 (en) * 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US10686047B2 (en) 2018-05-23 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
TWI776911B (zh) 2018-07-02 2022-09-11 聯華電子股份有限公司 半導體元件及其製作方法
CN109244139B (zh) * 2018-09-19 2021-03-05 中国科学院微电子研究所 半导体装置及其制造方法
US11158545B2 (en) * 2018-09-25 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming isolation features in metal gates
CN110970299B (zh) * 2018-09-28 2024-01-26 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
KR102492304B1 (ko) 2018-10-01 2023-01-27 삼성전자주식회사 반도체 소자
US20200176330A1 (en) * 2018-11-29 2020-06-04 Qualcomm Incorporated A structure and method for complementary metal oxide semiconductor (cmos) isolation
CN111725068A (zh) * 2019-03-22 2020-09-29 中芯国际集成电路制造(上海)有限公司 半导体结构形成方法
DE102020112695A1 (de) * 2019-05-31 2020-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Optimiertes näheprofil für verspanntes source/drain-merkmal und verfahren zu dessen herstellung
KR20210014829A (ko) 2019-07-30 2021-02-10 삼성전자주식회사 반도체 장치
CN112531027B (zh) * 2019-09-18 2024-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110787A (en) * 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
US6204137B1 (en) * 2000-04-24 2001-03-20 Chartered Semiconductor Manufacturing, Ltd. Method to form transistors and local interconnects using a silicon nitride dummy gate technique
US20040038466A1 (en) * 2002-08-22 2004-02-26 Taiwan Semiconductor Manufacturing Company Method of self-aligning a damascene gate structure to isolation regions
US20100052065A1 (en) * 2008-08-29 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. New method for mechanical stress enhancement in semiconductor devices
US20110287600A1 (en) * 2010-05-20 2011-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective Etching in the Formation of Epitaxy Regions in MOS Devices

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093619A (en) * 1998-06-18 2000-07-25 Taiwan Semiconductor Manufaturing Company Method to form trench-free buried contact in process with STI technology
US6165871A (en) * 1999-07-16 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Method of making low-leakage architecture for sub-0.18 μm salicided CMOS device
CN1959957B (zh) * 2005-10-31 2010-05-05 中芯国际集成电路制造(上海)有限公司 使用应变硅用于晶体管的集成设计方法和结构
JP5107680B2 (ja) 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
US8592918B2 (en) * 2009-10-28 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming inter-device STI regions and intra-device STI regions using different dielectric materials
US9362290B2 (en) * 2010-02-08 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell layout
JP5557632B2 (ja) * 2010-07-14 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN102339852B (zh) * 2010-07-27 2013-03-27 中国科学院微电子研究所 半导体器件及其制造方法
CN102446953B (zh) * 2010-09-30 2015-08-05 中国科学院微电子研究所 一种半导体结构及其制造方法
US9543188B2 (en) * 2010-11-09 2017-01-10 Institute Of Microelectonics, Chinese Academy Of Sciences Isolation structure, method for manufacturing the same, and semiconductor device having the structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110787A (en) * 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
US6204137B1 (en) * 2000-04-24 2001-03-20 Chartered Semiconductor Manufacturing, Ltd. Method to form transistors and local interconnects using a silicon nitride dummy gate technique
US20040038466A1 (en) * 2002-08-22 2004-02-26 Taiwan Semiconductor Manufacturing Company Method of self-aligning a damascene gate structure to isolation regions
US20100052065A1 (en) * 2008-08-29 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. New method for mechanical stress enhancement in semiconductor devices
US20110287600A1 (en) * 2010-05-20 2011-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective Etching in the Formation of Epitaxy Regions in MOS Devices

Also Published As

Publication number Publication date
US8871597B2 (en) 2014-10-28
US8735991B2 (en) 2014-05-27
KR101435710B1 (ko) 2014-09-01
CN103137624B (zh) 2016-06-08
KR20130061616A (ko) 2013-06-11
CN103137624A (zh) 2013-06-05
DE102012101875A1 (de) 2013-06-06
US20140256107A1 (en) 2014-09-11
US20130140639A1 (en) 2013-06-06

Similar Documents

Publication Publication Date Title
DE102012101875B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit hoher Gatedichte
DE102019106654B4 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern und Verfahren zu deren Herstellung
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102015113081B4 (de) Feldeffekttransistorstruktur mit mehreren Schwellenspannungen und Herstellungsverfahren dafür
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE112006000241B4 (de) Verfahren zur Herstellung eines FinFETs sowie Halbleiteranordnung
DE102013103470B4 (de) Verfahren für einen Feldeffekttransistor
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE112020000199B4 (de) Herstellungsverfahren für einen Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102018115909A1 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102006045581B4 (de) Halbleiterbauelement mit einem Trench-Gate und Verfahren zu seiner Fertigung
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102012102783A1 (de) Rippenfeldeffekttransistoren und Verfahren zur Herstellung derselben
DE102012214077A1 (de) Integrierte Schaltungen mit abstehenden Source- und Drainbereichen und Verfahren zum Bilden integrierter Schaltungen
DE102011004506A1 (de) Herstellungsverfahren für ein Halbleiterbauelement und Halbleiterbauelement als Stegtransistor, der auf einem strukturierten STI-Gebiet durch eine späte Stegätzung hergestellt ist
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE112020000212B4 (de) Verfahren zur herstellung eines transistorkanals mit vertikal gestapelten nanoschichten, die durch finnenförmige brückenzonen verbunden sind
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102019215248A1 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027088000

Ipc: H01L0021823400

R020 Patent grant now final