DE102018100297A1 - FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung - Google Patents

FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung Download PDF

Info

Publication number
DE102018100297A1
DE102018100297A1 DE102018100297.1A DE102018100297A DE102018100297A1 DE 102018100297 A1 DE102018100297 A1 DE 102018100297A1 DE 102018100297 A DE102018100297 A DE 102018100297A DE 102018100297 A1 DE102018100297 A1 DE 102018100297A1
Authority
DE
Germany
Prior art keywords
gate
gate structure
air gap
dielectric material
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018100297.1A
Other languages
English (en)
Inventor
Che-Cheng Chang
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018100297A1 publication Critical patent/DE102018100297A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Eine Halbleitervorrichtung enthält eine erste Gate-Struktur, die über einem Substrat angeordnet ist. Die erste Gate-Struktur erstreckt sich in einer ersten Richtung. Eine zweite Gate-Struktur ist über dem Substrat angeordnet. Die zweite Gate-Struktur erstreckt sich in der ersten Richtung. Ein dielektrisches Material ist zwischen der ersten Gate-Struktur und der zweiten Gate-Struktur angeordnet. Ein Luftspalt ist innerhalb des dielektrischen Materials angeordnet.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/586,223 mit dem Titel „FinFET Devices with Embedded Air Gaps and the Fabrication thereof“ und eingereicht am 15. November 2017, deren Offenbarung hiermit in vollem Umfang in den vorliegenden Text aufgenommen wird.
  • HINTERGRUND
  • In ihrem Bemühen um eine höhere Bauelementdichte, höhere Leistung und geringere Kosten ist die Halbleiterindustrie in den Bereich der Nanometertechnologieprozessknoten vorgedrungen. Im Zuge dieses Fortschritts haben die Herausforderungen aufgrund von Fertigungs- und Designproblemen zur Entwicklung dreidimensionaler Designs, wie zum Beispiel von Fin-Feldeffekttransistor (FinFET)-Bauelementen, geführt. Ein typisches FinFET-Bauelement wird mit einer dünnen „Finne“ (oder finnenartigen Struktur) hergestellt, die sich von einem Substrat erstreckt. Die Finne enthält gewöhnlich Silizium und bildet den Körper des Transistorbauelements. Der Kanal des Transistors wird in dieser vertikalen Finne gebildet. Ein Gate wird über der Finne (zum Beispiel um die Finne herum) angeordnet. Diese Art von Gate erlaubt eine bessere Kontrolle über den Kanal. Zu weiteren Vorteilen von FinFET-Bauelementen gehören ein reduzierter Kurzkanaleffekt und ein höherer Stromfluss.
  • Jedoch können herkömmliche FinFET-Bauelemente trotzdem gewisse Nachteile haben. Zum Beispiel kann die Fertigung von FinFET-Bauelementen einen Gate-Ersetzungsprozess enthalten. Aufgrund der immer kleiner werdenden Strukturelementgrößen können die kleinen Prozessfenster für einige Schritte des Gate-Ersetzungsprozesses zu Kriechströmen und/oder anderen Defekten führen.
  • Obgleich also die existierenden FinFET-Bauelemente und ihre Fertigung allgemein für ihre vorgesehenen Zwecke ausreichend sind, sind sie noch nicht in jeder Hinsicht zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es wird darauf hingewiesen, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind und allein der Veranschaulichung dienen. Die Abmessungen der verschiedenen Strukturelemente können im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
    • 1 ist eine perspektivische Ansicht eines Beispiels des FinFET-Bauelements.
    • 2A-8A sind Draufsichten eines FinFET-Bauelements auf verschiedenen Stufen der Fertigung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 2B-8B sind quergeschnittene Seitenansichten eines FinFET-Bauelements auf verschiedenen Stufen der Fertigung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 9-12 veranschaulichen Draufsichten eines Luftspalts gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 13-15 veranschaulichen quergeschnittene Seitenansichten eines Luftspalts gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 16 ist ein Flussdiagramm eines Verfahrens für die Fertigung eines FinFET-Bauelements gemäß Ausführungsformen der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereitstellt. Konkrete Ausführungsformen oder Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele, und sie sollen nicht einschränkend sein. Zum Beispiel kann die Ausbildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Strukturelemente in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen enthalten, bei denen zusätzliche Strukturelemente zwischen den ersten und zweiten Strukturelementen ausgebildet sein können, so dass die ersten und zweiten Strukturelemente nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Figuren gezeigten Ausrichtung umfassen. Wenn zum Beispiel die Vorrichtung in den Figuren umgedreht wird, so wären Elemente, die als „unter“ oder „unterhalb“ anderen Elemente oder Strukturelementen beschrieben sind, dann „über“ den anderen Elementen oder Strukturelementen ausgerichtet. Das heißt, der beispielhafte Begriff „darunter“ kann eine Ausrichtung sowohl darüber als auch darunter umfassen. Die Vorrichtung kann auch anders ausgerichtet (90 Grad gedreht oder anders ausgerichtet) sein, und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können entsprechend interpretiert werden.
  • Die vorliegende Offenbarung betrifft, ohne im Übrigen darauf beschränkt zu sein, ein finnenartiges Feldeffekttransistor (FinFET)-Bauelement. Das FinFET-Bauelement kann zum Beispiel ein komplementäres Metall-Oxid-Halbleiter (CMOS)-Bauelement sein, das ein P-Typ-Metall-Oxid-Halbleiter-FinFET-Bauelement und ein N-Typ-Metall-Oxid-Halbleiter-FinFET-Bauelement enthält. Die folgende Offenbarung wird mit einem oder mehreren FinFET-Beispielen fortgesetzt, um verschiedene Ausführungsformen der vorliegenden Offenbarung zu veranschaulichen. Es versteht sich jedoch, dass die Anmeldung nicht auf eine bestimmte Art von Vorrichtung beschränkt werden darf, sofern es nicht ausdrücklich so beansprucht wird.
  • Die Verwendung von FinFET-Bauelementen hat sich in der Halbleiterindustrie immer mehr durchgesetzt. In 1 ist eine perspektivische Ansicht eines beispielhaften FinFET-Bauelements 50 veranschaulicht. Das FinFET-Bauelement 50 ist ein nicht-planarer Mehrgate-Transistor, der über einem Substrat (wie zum Beispiel einem Volumensubstrat) ausgebildet ist. Eine dünne, Silizium-haltige „finnenartige“ Struktur (im Weiteren als eine „Finne“ bezeichnet) bildet den Körper des FinFET-Bauelements 50. Die Finnenstruktur erstreckt sich entlang einer in 1 gezeigten X-Richtung. Die Finnenstruktur hat eine Finnenbreite Wfin , entlang einer Y-Richtung gemessen, die orthogonal zur X-Richtung verläuft. Eine Gate-Struktur 60 des FinFET-Bauelements 50 legt sich teilweise um diese Finnenstruktur, zum Beispiel um die Oberseite und die gegenüberliegenden Seitenwandflächen der Finnenstruktur. Darum befindet sich ein Abschnitt der Gate-Struktur 60 über der Finnenstruktur in einer Z-Richtung, die orthogonal sowohl zur X-Richtung als auch zur Y-Richtung verläuft.
  • LG bezeichnet eine Länge (oder Breite, je nach Perspektive) der Gate-Struktur 60, in der X-Richtung gemessen. Die Gate-Struktur 60 kann eine Gate- Elektrodenkomponente 60A und eine Gate-Dielektrikumkomponente 60B enthalten. Das Gate-Dielektrikum 60B hat eine Dicke tox , in der Y-Richtung gemessen. Ein Abschnitt der Gate-Struktur 60 befindet sich über einer dielektrischen Isolierstruktur, wie zum Beispiel einer Flachgrabenisolierung (Shallow Trench Isolation, STI). Eine Source 70 und ein Drain 80 des FinFET-Bauelements 50 werden in Verlängerungen der Finnenstruktur auf gegenüberliegenden Seiten der Gate-Struktur 60 gebildet. Ein Abschnitt der Finnenstruktur, der um die Gate-Struktur 60 herum gelegt ist, dient als ein Kanal des FinFET-Bauelements 50. Die effektive Kanallänge des FinFET-Bauelements 50 wird durch die Abmessungen der Finnenstruktur bestimmt.
  • FinFET-Bauelemente bieten verschiedene Vorteile gegenüber herkömmlichen Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET)-Bauelementen (auch als planare Transistorbauelemente bezeichnet). Zu diesen Vorteilen können eine bessere Chipflächenausnutzung, eine verbesserte Trägermobilität und eine bessere Fertigungsverarbeitung gehören, die mit der Fertigungsverarbeitung planarer Bauelemente kompatibel ist. FinFET-Bauelemente sind auch mit einem High-k Metal Gate (HKMG)-Prozessfluss kompatibel. Darum können FinFET-Bauelemente als HKMG-Bauelemente implementiert werden, wo die Gates jeweils ein Gate-Dielektrikum mit hohem k-Wert und eine Metall-Gate-Elektrode haben. Für diese oben besprochenen Nutzeffekte kann es wünschenswert sein, einen integrierten Schaltkreis (IC)-Chip zu konstruieren, der FinFET-Bauelemente für einen Abschnitt des IC-Chips oder den gesamten IC-Chip verwendet.
  • Jedoch können herkömmliche FinFET-Fertigungsverfahren immer noch Nachteile haben. Zum Beispiel kann die FinFET-Fertigung einen Gate-Ersetzungsprozess enthalten, wobei ein Dummy-Gate durch die Gate-Struktur 60 ersetzt wird, die ein Metall-Gate mit hohem k-Wert sein kann. Als Teil des Gate-Ersetzungsprozesses kann ein „Schnitt“ an dem Dummy-Gate vorgenommen werden, um das Dummy-Gate so aufzubrechen, dass einzelne Dummy-Gates definiert werden. Die einzelnen Dummy-Gates werden später durch die Metall-Gates mit hohem k-Wert ersetzt. Somit definiert der „Schnitt“ die Beabstandung oder Distanz zwischen den Dummy-Gates in einer Richtung, in der sich die Dummy-Gates erstrecken. Jedoch kann es sein, dass der „Schnitt“ aufgrund der immer kleineren Strukturelementgrößen benachbarte Dummy-Gates nicht hinreichend aufbricht. Unerwünschte Reste der Dummy-Gates, die zurückbleiben (aber während des „Schnittes“ hätten entfernt werden sollen), können zu Problemen mit Kriechströmen oder überbrückten Leitungsenden zwischen den schlussendlich gebildeten Metall-Gates mit hohem k-Wert führen, was die Bauelement-Leistung beeinträchtigen und/oder die Produktionsausbeute schmälern könnte.
  • Um die oben besprochenen Probleme zu überwinden, führt die vorliegende Offenbarung ein „Zurückziehen“ als Teil des „Schnitt“-Prozesses aus, um das Prozessfenster zu vergrößern und die Möglichkeit des Überbrückens von Leitungsenden zu verringern. Außerdem können die resultierenden FinFET-Bauelemente aufgrund des einzigartigen Prozessflusses Leerstellen oder Luftspalte zwischen benachbarten Gate-Strukturen enthalten. Diese Leerstellen oder Spalte können die elektrische Isolierung zwischen den benachbarten Gate-Strukturen verbessern. Im Ergebnis können die gemäß dem vorliegenden Text hergestellten FinFET-Bauelemente eine verbesserte Bauelement-Leistung und eine höhere Produktionsausbeute als herkömmliche FinFET-Bauelemente haben. Der Fertigungsprozessablauf der vorliegenden Offenbarung wird unten ausführlicher mit Bezug auf die 2A-8A, 2B-8B und 9-16 besprochenen.
  • Die 2A-8A sind schaubildhafte fragmentarische Draufsichten eines FinFET-Bauelements 100 auf verschiedenen Stufen der Fertigung, und die 2B-8B sind schaubildhafte quergeschnittene Seitenansichten des FinFET-Bauelements 100 auf verschiedenen Stufen der Fertigung. Die Querschnittsansichten werden entlang dem in 1 gezeigten Y-Schnitt erhalten, und der Y-Schnitt ist außerdem in der Draufsicht von 2A veranschaulicht.
  • Wie in 2B zu sehen, enthält das FinFET-Bauelement 100 ein Substrat 110. Das Substrat 110 kann ein Halbleitersubstrat sein, in einigen Ausführungsformen zum Beispiel ein Siliziumsubstrat. Das Substrat 110 kann außerdem eine dotierte Mulde enthalten, die unter Verwendung eines oder mehrerer Ionenimplantierungsprozesse gebildet werden kann, die Dotandenionen in das Substrat 110 implantieren. Die Dotandenionen können in einigen Ausführungsformen ein Material vom n-Typ enthalten, zum Beispiel Arsen (As) oder Phosphor (P), oder sie können in einigen Ausführungsformen ein Material vom p-Typ enthalten, zum Beispiel Bor (B), je nachdem, ob ein NFET oder ein PFET benötigt wird.
  • Das FinFET-Bauelement 100 enthält Finnenstrukturen 120, die aufwärts von dem Substrat 110 hervorstehen, zum Beispiel vertikal aufwärts in der in 1 gezeigten Z-Richtung. Zwar ist es in 2B nicht sofort sichtbar, doch die Finnenstrukturen 120 sind längliche Strukturen und erstrecken sich jeweils in der X-Richtung, ähnlich der Finnenstruktur in 1. Verschiedene Abschnitte der Finnenstrukturen 120 können als die Source/Drain-Regionen oder Kanalregionen der FinFET-Transistoren dienen. Außerdem sind, wie in 2B gezeigt, die Finnenstrukturen 120 teilweise von einer Isolierungsstruktur 150 umgeben (oder sind in diese eingebettet). In einigen Ausführungsformen enthält die Isolierungsstruktur 150 eine Flachgrabenisolierung (STI). Die Isolierungsstruktur 150 stellt eine elektrische Isolierung zwischen verschiedenen Komponenten des FinFET-Bauelements 100, zum Beispiel den Finnenstrukturen 120, bereit.
  • Eine Dummy-Gate-Schicht 160 wird über der Isolierungsstruktur 150 ausgebildet. Die Dummy-Gate-Schicht 160 ist auch über Abschnitten der Finnenstrukturen 120 ausgebildet und umfängt diese teilweise. In einigen Ausführungsformen enthält die Dummy-Gate-Schicht 160 Polysilizium. Die Dummy-Gate-Schicht 160 wird anschließend zu Dummy-Gate-Strukturen strukturiert und wird in einem später ausgeführten Gate-Ersetzungsprozess entfernt und durch Metall-Gates mit hohem k-Wert ersetzt.
  • Wie in 2A-2B gezeigt, wird eine strukturierte Maskenschicht 170 über der Dummy-Gate-Schicht 160 ausgebildet. In einigen Ausführungsformen enthält die strukturierte Maskenschicht 170 ein dielektrisches Material, wie zum Beispiel Siliziumoxynitrid (SiON). Die strukturierte Maskenschicht 170 dient in einem unten besprochenen Strukturierungsprozess als eine Hartmaske. Die strukturierte Maskenschicht 170 enthält eine Öffnung 175. Die Öffnung 175 kann durch Bilden eines Maskenschichtmaterials und Bilden einer strukturierten Photoresistschicht und unter Verwendung der strukturierten Photoresistschicht zum Ätzen der Öffnung in dem Maskenschichtmaterial definiert werden. Die Öffnung 175 wird in einem unten besprochenen anschließenden Prozess weiter in die Dummy-Gate-Schicht 160 hinein verlängert.
  • Wie in der Draufsicht von 2A gezeigt, werden Gate-Abstandshalter 180 auf jeder Seite der Dummy-Gate-Schicht 160 gebildet, wie in 2A gezeigt. Die Gate-Abstandshalter 180 sind in der Querschnittsansicht von 2B (oder einer der Querschnittsansichts-Figuren in den anschließenden Fertigungsverarbeitungsstufen) nicht direkt sichtbar, da die Schnittlinie Y-Y entlang einer Stelle außerhalb der Gate-Abstandshalter 180 verläuft. Die Gate-Abstandshalter 180 enthalten ein dielektrisches Material, das ein anderes sein kann als das Material der strukturierten Maskenschicht 170. In verschiedenen Ausführungsformen können die Gate-Abstandshalter 180 Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Siliziumoxycarbonitrid (SiOCN), Siliziumcarbid (SiC), Siliziumoxycarbid (SiOC) oder Kombinationen davon enthalten. Die Gate-Abstandshalter 180 haben jeweils eine seitliche Abmessung 190, die in der X-Richtung (zum Beispiel der in 1 gezeigten X-Richtung) gemessen wird. In einigen Ausführungsformen liegt die seitliche Abmessung 190 in einem Bereich zwischen etwa 5 Ångström und etwa 500 Ängström.
  • Wir wenden uns nun den 3A-3B zu, wo ein Ätzprozess 200 an dem FinFET-Bauelement 100 ausgeführt wird, um die Öffnung 175 weiter in die Dummy-Gate-Schicht 160 hinein zu ätzen. Im Ergebnis wird die Öffnung 175 der strukturierten Maskenschicht 170 zu einer Öffnung (oder Aussparung) 20, die sich vertikal durch die Dummy-Gate-Schicht 160 in der Z-Richtung (zum Beispiel der in 1 gezeigten Z-Richtung) erstreckt. Der Ätzprozess 200 kann außerdem als ein Schnitt-Poly-Ätzprozess bezeichnet werden, da er die Dummy-Gate-Schicht 160 (die in der veranschaulichten Ausführungsform Polysilizium enthält) „aufschneidet“. Die Dummy-Gate-Schicht 160 wird nun in einzelne Dummy-Gate-Strukturen 160 getrennt.
  • Wie in den 3A-3B gezeigt, hat die Öffnung 210 eine Abmessung 230, die in der Y-Richtung gemessen wird. Die Abmessung 230 ist eine der kritischen Abmessungen (Critical Dimensions, CD) des FinFET-Bauelements 100. In einigen Ausführungsformen liegt die Abmessung 230 in einem Bereich zwischen etwa 3 Nanometern (nm) und etwa 50 nm.
  • Wie oben besprochen, kann die Abmessung 230 aufgrund der immer kleiner werdenden Geometrie-Größen in der Halbleiterfertigung klein genug sein, um Probleme in Bezug auf den Ätzprozess 200 zu verursachen. Zum Beispiel ist der Ätzprozess 200 aufgrund der kleinen Größe der Abmessung 230 möglicherweise nicht in der Lage, die Dummy-Gate-Schicht 160 wie vorgesehen vollständig zu entfernen. In einigen Fällen (wie zum Beispiel dem in 3B veranschaulichten Fall) können einige Rückstände 160A der Dummy-Gate-Schicht 160 am Boden der Dummy-Gate-Schicht 160 verbleiben. Aufgrund der entfernten Rückstände 160A kann die Dummy-Gate-Schicht 160 außerdem als ein „Basis“-Profil aufweisend bezeichnet werden. Die Rückstände 160A der Dummy-Gate-Schicht 160 können sogar in Kontakt miteinander kommen. Dies wiederum kann zu einem Überbrücken zwischen benachbarten Metall-Gates mit hohem k-Wert führen, wenn später ein Gate-Ersetzungsprozess ausgeführt wird, um die Dummy-Gates 160 durch die Metall-Gates mit hohem k-Wert zu ersetzen. Das Überbrücken der Metall-Gates mit hohem k-Wert würde die Produktionsausbeute der Vorrichtung verringern und/oder die Bauelement-Leistung verschlechtern. Das Ganze wird noch dadurch verschärft, dass die Probleme gewöhnlich erst erkannt werden, wenn die Fertigung des FinFET-Bauelements 100 nahezu vollendet ist, doch an diesem Punkt kann es bereits zu spät sein, noch Abhilfemaßnahmen zu ergreifen.
  • Um dem oben besprochenen Problem abzuhelfen, wird ein „Rückzugs“-Prozess 250 ausgeführt, um die Öffnung 210 zu verbreitern (d. h. die Abmessung 230 zu vergrößern), wie in den 4A-4B gezeigt. Der Rückzugsprozess 250 kann einen seitlichen Ätzprozess enthalten, um Abschnitte der Dummy-Gate-Schicht 160 wegzuätzen, die durch die Öffnung 210 freigelegt werden. In einigen Ausführungsformen verwendet der „Rückzugs“-Prozess 250 ein Ätzmittel, das H2, He, Cl2, N2, Ar, O2, NF3, CH4, CHxFy (wo x und y ganze Zahlen sein können), HBr oder Kombinationen davon enthält. In einigen Ausführungsformen wird der „Rückzugs“-Prozess 250 unter Verwendung von Folgendem ausgeführt: einer Leistung, die in einem Bereich zwischen etwa 200 Watt und etwa 1500 Watt liegt, einer Vorspannung, die in einem Bereich zwischen etwa 500 Volt und etwa 2000 Volt liegt, eines Drucks, der in einem Bereich zwischen etwa 3 Milli-Torr und etwa 100 Milli-Torr liegt, und einer Prozesszeit, die in einem Bereich zwischen etwa 5 Sekunden und etwa 50 Sekunden liegt.
  • Im Ergebnis des Rückzugsprozesses 250 wird die Öffnung 210 zu einer Öffnung 210A verbreitert, die nun eine seitliche Abmessung 260 hat, die in der Y-Richtung gemessen wird. Die seitliche Abmessung 260 ist größer als die in den 3A-3B gezeigte seitliche Abmessung 230. In einigen Ausführungsformen liegt die seitliche Abmessung 260 in einem Bereich zwischen etwa 5 Nanometern und etwa 50 nm. Die seitliche Abmessung 260 kann durch Abstimmen der verschiedenen Parameter des Rückzugsprozesses 250 konfiguriert werden. In einigen Ausführungsformen entfernt der Rückzugsprozess 250 im Wesentlichen die Rückstände 160A (zum Beispiel das Basisprofil der Dummy-Gate-Schicht 160) oder reduziert wenigstens die Größen der Rückstände 160A, dergestalt, dass sie kein Risiko einer Überbrückung in benachbarte Rückstände hinein mehr darstellen. Wenn also später der Gate-Ersetzungsprozess ausgeführt wird, so werden die Risiken des Überbrückens zwischen den benachbarten Metall-Gates mit hohem k-Wert wesentlich reduziert. Darum kann die vorliegende Offenbarung das im vorliegenden Text beschriebene Prozessfenster lockern (wie zum Beispiel für den oben besprochenen „Schnitt“-Prozess) und stellt keinen strengen Anforderungen an den Mittenabstand und/oder den Platzbedarf auf der „geschnittenen“ Dummy-Gate-Schicht 160.
  • Es ist zu beachten, dass der Rückzugsprozess 250 keinen nennenswerten Einfluss auf die strukturierte Maskenschicht 170 hat. Diese kann erreicht werden, indem man die Parameter des seitlichen Ätzprozesses des Rückzugsprozesses 250 dergestalt konfiguriert, dass eine hinreichend hohe Ätzselektivität zwischen der strukturierten Maskenschicht 170 und der Dummy-Gate-Schicht 160 besteht. Folglich können Abschnitte der strukturierten Maskenschicht 170 „Überhänge“ 170A über der Öffnung 210A bilden, wie in 4B gezeigt. Das Vorhandensein dieser Überhänge 170A hilft, einen Luftspalt in einem dielektrischen Material zwischen den benachbarten Gate-Strukturen zu bilden, wie unten noch ausführlicher besprochen wird.
  • Wir wenden uns nun den 5A-5B zu, wo ein dielektrisches Material 300 über der Maskenschicht 170 und über der Isolierungsstruktur 150 ausgebildet wird. In einigen Ausführungsformen kann das dielektrische Material 300 durch einen Abscheidungsprozess gebildet werden, wie zum Beispiel chemisches Aufdampfen (CVD), physikalisches Aufdampfen (PVD), Atomschichtabscheidung (ALD) oder Kombinationen davon. Das dielektrische Material 300 kann eine andere Materialzusammensetzung haben als die strukturierte Maskenschicht 170 und/oder als die Gate-Abstandshalter 180. In einigen Ausführungsformen enthält das dielektrische Material 300 Siliziumnitrid. In anderen Ausführungsformen kann das dielektrische Material 300 Siliziumoxynitrid, Siliziumoxycarbonitrid, Siliziumcarbid, Siliziumoxycarbid, Siliziumoxid oder Kombinationen davon enthalten.
  • Das dielektrische Material 300 füllt auch teilweise die Öffnung 210A aus. Aufgrund des Vorhandenseins der Überhänge 170A der strukturierten Maskenschicht 170 wird eine Leerstelle oder ein Luftspalt 310 in dem dielektrischen Material 300 und zwischen den benachbarten Dummy-Gate-Strukturen 160 gebildet. Der Luftspalt 310 hat eine vertikale Abmessung 320 (in der Z-Richtung gemessen) und eine seitliche Abmessung 330. In einigen Ausführungsformen liegt die vertikale Abmessung 320 in einem Bereich zwischen etwa 10 nm und etwa 50 nm, und die horizontale Abmessung 330 liegt in einem Bereich zwischen etwa 1 nm und etwa 10 nm. Diese Bereiche können spezifisch durch Justieren der Prozessparameter des seitlichen Ätzprozesses und des Abscheidungsprozesses für das dielektrische Material, wie oben besprochen, konfiguriert werden. Es versteht sich, dass der Luftspalt 310 in der Draufsicht von 5A nicht direkt sichtbar ist. Um jedoch ein besseres Verständnis der vorliegenden Offenbarung zu ermöglichen, ist der Umriss des Luftspalts 310 in 5A als eine gestrichelte Kontur veranschaulicht.
  • Wir wenden uns nun den 6A-6B zu, wo ein Polierprozess 400 an dem FinFET-Bauelement 100 ausgeführt wird, um die strukturierte Maskenschicht 170 und Abschnitte des dielektrischen Materials 300 zu entfernen sowie die Oberseite des FinFET-Bauelements 100 zu planarisieren. In einigen Ausführungsformen enthält der Polierprozess 400 einen chemischmechanischen Polier (CMP)-Prozess. Einige obere Abschnitte der Dummy-Gate-Strukturen 160 können ebenfalls durch den Polierprozess 400 entfernt werden. Der Polierprozess 400 kann ebenfalls einen ausreichenden Betrag des dielektrischen Materials 300 entfernen, dergestalt, dass der Luftspalt 310 „geöffnet“ wird. Oder anders ausgedrückt: Der Luftspalt 310 wird aufgrund der Ausführung des Polierprozesses 400 freigelegt.
  • Wir wenden uns nun den 7A-7B zu, wo ein Prozess 420 zum Entfernen der Dummy-Gates an dem FinFET-Bauelement 100 ausgeführt wird, um die Dummy-Gate-Strukturen 160 zu entfernen. In einigen Ausführungsformen kann der Prozess 420 zum Entfernen der Dummy-Gates einen oder mehrere Ätzprozesse enthalten, die dafür konfiguriert sind, das Polysiliziummaterial der Dummy-Gate-Strukturen 160 zu entfernen. Die Ätzprozesse können so konfiguriert sein, dass sie eine Ätzselektivität zwischen den Dummy-Gate-Strukturen 160 und dem dielektrischen Material 300 aufweisen. Darum hat das Entfernen der Dummy-Gate-Strukturen 160 keinen nennenswerten Einfluss auf das dielektrische Material 300. Darum wird nach dem Entfernen der Dummy-Gate-Strukturen 160 der Luftspalt 310 weiterhin durch die verbleibenden Abschnitte des dielektrischen Materials 300 definiert. Es versteht sich des Weiteren, dass die oberen Abschnitte der Finnenstrukturen 120 und Regionen der Isolierungsstrukturen 150 ebenfalls frei liegenden können, nachdem der Prozess 420 zum Entfernen der Dummy-Gates ausgeführt wurde.
  • Wir wenden uns nun den 8A-8B zu, wo ein Prozess 450 zum Bilden eines Metall-Gates mit hohem k-Wert ausgeführt wird, um Metall-Gate-Strukturen mit hohem k-Wert 460 anstelle der entfernten Dummy-Gate-Strukturen 160 zu bilden. Dabei werden die Metall-Gate-Strukturen mit hohem k-Wert 460 über den Isolierungsstrukturen 150 gebildet und legen sich um die Finnenstrukturen 120. Es versteht sich, dass die Metall-Gate-Strukturen mit hohem k-Wert 460 längliche Strukturen sind, die sich jeweils in der Y-Richtung erstrecken, ähnlich der Gate-Struktur in 1. Oder anders ausgedrückt: Die Metall-Gate-Strukturen mit hohem k-Wert 460 und die Finnenstrukturen 120 erstrecken sich in unterschiedlichen Richtungen, zum Beispiel in Richtungen, die senkrecht zueinander verlaufen.
  • Benachbarte Metall-Gate-Strukturen mit hohem k-Wert 460 (zum Beispiel nebeneinander in der Y-Richtung) sind in der Y-Richtung durch die verbleibenden Abschnitte des dielektrischen Materials 300 und den Luftspalt 310 voneinander getrennt. Oder anders ausgedrückt: Die benachbarten Metall-Gate-Strukturen mit hohem k-Wert 460 sind auf gegenüberliegenden Seiten des Luftspalts 310 ausgebildet. Das dielektrische Material 300 und der Luftspalt 310 bilden eine elektrische Isolierung zwischen den Metall-Gate-Strukturen mit hohem k-Wert 460.
  • Die Metall-Gate-Strukturen mit hohem k-Wert 460 enthalten jeweils ein Gate-Dielektrikum mit hohem k-Wert und eine Metall-Gate-Elektrode. Ein dielektrisches Material mit hohem k-Wert ist ein Material mit einer Dielektrizitätskonstante, die größer ist als eine Dielektrizitätskonstante von SiO2, die ungefähr 4 beträgt. In einer Ausführungsform enthält das Gate-Dielektrikum mit hohem k-Wert Hafniumoxid (HfO2), das eine Dielektrizitätskonstante aufweist, die in einem Bereich von ungefähr 18 bis ungefähr 40 liegt. In alternativen Ausführungsformen kann das Gate-Dielektrikum mit hohem k-Wert ZrO2, Y2O3, La2O5, Gd2O5, TiO2, Ta2O5, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO oder SrTiO enthalten.
  • Die Metall-Gate-Elektrode kann eine Austrittsarbeitsmetallkomponente und eine Füllmetallkomponente enthalten. Die Austrittsarbeitsmetallkomponente ist dafür konfiguriert, eine Austrittsarbeit ihres entsprechenden FinFET abzustimmen, um eine gewünschte Schwellenspannung Vt zu erreichen. In verschiedenen Ausführungsformen kann die Austrittsarbeitsmetallkomponente enthalten: Titan (Ti), Titan-Aluminium (TiAl), Titan-Aluminiumnitrid (TiAlN), Tantal (Ta), Tantalcarbid (TaC), Tantal-Carbonnitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Tantalnitrid (TaN), Titannitrid (TiN), Wolframnitrid (WN) oder Wolfram (W), Kobalt (Co), Nickel (Ni), Mangan (Mn), Zirkon (Zr) oder Kombinationen davon. Die Füllmetallkomponente ist dafür konfiguriert, als der leitende Hauptabschnitt der funktionalen Gate-Struktur 460 zu dienen. In verschiedenen Ausführungsformen kann die Füllmetallkomponente Aluminium (Al), Wolfram, Kupfer (Cu), Aluminium-Kupfer (AlCu) oder Kombinationen davon enthalten. In einigen Ausführungsformen können das Dielektrikum mit hohem k-Wert und die verschiedenen Metallschichten der Metall-Gate-Strukturen mit hohem k-Wert 460 jeweils durch einen oder mehrere geeignete Abscheidungsprozesse gebildet. Ein Polierprozess, wie zum Beispiel ein CMP-Prozess, kann ebenfalls ausgeführt werden, um die Oberseite der Metall-Gate-Strukturen mit hohem k-Wert 460 zu planarisieren.
  • Wie in 8A gezeigt, hat die Metall-Gate-Struktur mit hohem k-Wert 460 eine Abmessung 470, die in der X-Richtung gemessen wird. Die Abmessung 470 kann als eine kritische Abmessung (CD) der Gate-Struktur mit hohem k-Wert 460 in der X-Richtung bezeichnet werden. In einigen Ausführungsformen liegt die Abmessung 470 in einem Bereich zwischen etwa 5 nm und etwa 50 nm. Es versteht sich, dass die Abmessung 470 Lg, wie in 1 gezeigt, entsprechen kann.
  • Außerdem trennt, wie in den 8A-8B gezeigt, eine Distanz 475 die benachbarten Gate-Strukturen 460. Die Distanz 475 wird in der Y-Richtung gemessen. Die Distanz 475 kann als eine „geschnittene“ kritische Abmessung (CD) bezeichnet werden. Das liegt daran, dass die Distanz 475 weitgehend durch den Ätzprozess 200, der ausgeführt wird, um die Dummy-Gate-Schicht 160 „aufzuschneiden“ (wie oben mit Bezug auf die 3A-3B besprochen), sowie durch den „Rückzugs“-Prozess 250 zum Verbreitern des „Schnitts“ (wie oben mit Bezug auf die 4A-4B besprochen) definiert wird. Dementsprechend kann die Distanz 475 ungefähr gleich der in den 4A-4B gezeigten seitlichen Abmessung 260 sein. In einigen Ausführungsformen liegt die Distanz 475 in einem Bereich zwischen etwa 5 nm und etwa 50 nm.
  • In einigen Ausführungsformen können, weil der Luftspalt 310 frei gelegt wurde, Abschnitte 460A der Metall-Gate-Strukturen mit hohem k-Wert auch innerhalb des Luftspalts 310 gebildet werden. Alternativ können die Abschnitte 460A so betrachtet werden, dass sie innerhalb des dielektrischen Materials 300 gebildet werden, und dass sie die äußeren Grenzen des Luftspalts 310 definieren, der nun aufgrund der abgeschiedenen Abschnitte 460A verkleinert ist. Ähnlich den Metall-Gate-Strukturen mit hohem k-Wert 460 können die Abschnitte 460A ein Gate-Dielektrikum-Material mit hohem k-Wert und ein Metall-Gate-Material enthalten. Jedoch fungieren die in dem Luftspalt 310 gebildeten Abschnitte 460A im Gegensatz zu den Metall-Gate-Strukturen mit hohem k-Wert 460 nicht als ein Gate eines Transistors. Die Dicke der Abschnitte 460A kann von der Größe des Luftspalts 310 abhängig sein. Je kleiner der Luftspalt 310, desto geringer die Dicke der Abschnitte 460A. In der in den 8A-8B gezeigten Ausführungsform füllen die Abschnitte 460A teilweise den Luftspalt 310. In einigen anderen Ausführungsformen brauchen keine Abschnitte 460A gebildet zu werden, um den Luftspalt 310 im Wesentlichen (oder auch vollständig) zu füllen.
  • Wie in 8A gezeigt ist, hat der Luftspalt 310 eine maximale Abmessung 480, die in der X-Richtung gemessen wird, und eine maximale Abmessung 490, die in der Y-Richtung gemessen wird. Die maximale Abmessung 480 kann als eine kritische Abmessung (CD) des Luftspalts 310 in der X-Richtung bezeichnet werden, während die maximale Abmessung 490 als eine kritische Abmessung (CD) des Luftspalts 310 in der Y-Richtung bezeichnet werden kann. In einigen Ausführungsformen liegt die maximale Abmessung 480 in einem Bereich zwischen etwa 1 nm und etwa 10 nm, und die maximale Abmessung 480 liegt in einem Bereich zwischen etwa 1 nm und etwa 10 nm. Jedoch versteht es sich, dass die maximale Abmessung 480 und die maximale Abmessung 490 einen voneinander verschiedenen Wert haben können (zum Beispiel kann einer größer als der andere sein).
  • Außerdem kann, wie in 8B gezeigt ist, der Luftspalt 310 eine Höhe 500 (auch als eine Tiefe bezeichnet) haben, die in der Z-Richtung (zum Beispiel der in 1 gezeigten Z-Richtung) gemessen wird. Die Höhe 500 kann als eine Distanz zwischen einer Oberseite der Isolierungsstruktur 150 und einem untersten Abschnitt des Luftspalts 310 gemessen werden. In einigen Ausführungsformen liegt die Höhe 500 des Luftspalts 310 in einem Bereich zwischen etwa 1 nm und etwa 100 nm.
  • Es versteht sich, dass die 8A-8B lediglich eine beispielhafte Ausführungsform der vorliegenden Offenbarung veranschaulichen. Insofern sind die Formen, Profile und/oder Größen des in den 8A-8B gezeigten Luftspalts 310 lediglich Beispiele und sollen nicht einschränkend sein. 9, 10, 11 und 12 veranschaulichen die Draufsichten anderer Ausführungsformen der vorliegenden Offenbarung, wo der Luftspalt 310 unterschiedliche Draufsichtsprofile und Formen hat. Zum Beispiel haben, wie in der Draufsicht von 9 gezeigt, der Luftspalt 310 und die Abschnitte 460A der Materialien mit hohem k-Wert und/oder Metallmaterialien, die in dem Luftspalt 310 ausgebildet sind, jeweils ein stärker kreisförmiges Draufsichtsprofil als der Luftspalt 310 und die Abschnitte 460A, die in 8A gezeigt sind, da der Luftspalt 310 und die Abschnitte 460A, die in 8A gezeigt sind, jeweils ein Draufsichtsprofil haben, das eher einem Oval als einem Kreis ähnelt.
  • In einem weiteren Beispiel, wie in der Draufsicht von 10 gezeigt, haben der Luftspalt 310 und die Abschnitte 460A der Materialien mit hohem k-Wert und/oder Metallmaterialien, die in dem Luftspalt 310 ausgebildet sind, jeweils ein oval-gleiches Draufsichtsprofil. Jedoch im Gegensatz zu dem oval-gleichen Draufsichtsprofil des Luftspalts 310, das der in 8A gezeigten Ausführungsform entspricht, kann der Luftspalt 310, welcher der in 10 gezeigten Ausführungsform entspricht, anders orientiert sein. Wenn zum Beispiel der Luftspalt 310 von 8A eine längere Abmessung in der Y-Richtung gemessen (zum Beispiel die Abmessung 490) hat und eine kürzere Abmessung in der X-Richtung gemessen (zum Beispiel die Abmessung 480) hat, dann hat der Luftspalt 310 von 10 eine kürzere Abmessung in der Y-Richtung gemessen und eine längere Abmessung in der X-Richtung gemessen, oder umgekehrt.
  • In einem weiteren Beispiel, wie in der Draufsicht von 11 gezeigt, obgleich der Luftspalt 310 zwischen den benachbarten Gate-Strukturen 460 ausgebildet ist, werden dielektrische Materialien ohne hohen k-Wert und/oder Metallmaterialien (zum Beispiel die in den 8A-8B gezeigten Abschnitte 460A) in dem Luftspalt 310 ausgebildet. Oder anders ausgedrückt: Wenn die Metall-Gate-Strukturen mit hohem k-Wert 460 zum Beispiel durch mehrere Abscheidungsprozesse gebildet werden, so brauchen die Abscheidungsprozesse das Gate-Dielektrikum-Material mit hohem k-Wert und/oder die Metall-Gate-Elektrodenmaterial nicht in dem Luftspalt 310 abzuscheiden. Das Fehlen des Gate-Dielektrikum-Materials mit hohem k-Wert und/oder des Metall-Gate-Elektrodenmaterials in dem Luftspalt 310 kann ein Ergebnis der geringen Größe des Luftspalts 310 sein. Wenn zum Beispiel der Luftspalt 310 in der X-Richtung und/oder in der Y-Richtung hinreichend klein ist, so ist es schwierig, die Materialien (zum Beispiel die dielektrischen Gate-Materialien mit hohem k-Wert und Metall-Gate-Materialien) in dem kleinen Luftspalt 310 abzuscheiden. Infolge dessen ist es möglich, dass der Luftspalt 310 weder das Gate-Dielektrikum-Material mit hohem k-Wert noch das Metall-Gate-Elektrodenmaterial aufweist.
  • In einem weiteren Beispiel, wie in der Draufsicht von 12 gezeigt, hat der Luftspalt 310 auch kein Gate-Dielektrikum-Material mit hohem k-Wert und/oder Metall-Gate-Elektrodenmaterial, das innerhalb des Luftspalts 310 ausgebildet ist. Jedoch kann der in 12 gezeigte Luftspalt 310 anders orientiert sein als der in 11 gezeigte Luftspalt 310. Wenn zum Beispiel der Luftspalt 310 von 11 eine längere Abmessung in der Y-Richtung gemessen und eine kürzere Abmessung in der X-Richtung gemessen hat, dann hat der Luftspalt 310 von 12 eine kürzere Abmessung in der Y-Richtung gemessen und eine längere Abmessung in der X-Richtung gemessen, oder umgekehrt.
  • 13, 14 und 15 veranschaulichen die quergeschnittenen Seitenansichten von anderen Ausführungsformen der vorliegenden Offenbarung, wobei der Luftspalt 310 andere Profile und Formen hat. Zum Beispiel hat, wie in der Querschnittsansicht von 13 gezeigt, der Luftspalt 310 eine breitere Öffnung in Richtung seines oberen Endes als der in 8B gezeigte Luftspalt 310. Während die maximale seitliche Abmessung 490 des Luftspalts 310 von 8B irgendwo nahe der Mitte des Luftspalts liegt, liegt die maximale seitliche Abmessung 490 des Luftspalts von 13 in einigen Ausführungsformen nahe seinem oberen Ende. Oder anders ausgedrückt: Bei dem Luftspalt 310 in 13 verringert sich seine seitliche Abmessung allmählich, je tiefer er wird (zum Beispiel näher an der Isolierungsstruktur 150).
  • In einem weiteren Beispiel, wie in der Querschnittsansicht von 14 gezeigt, hat der Luftspalt 310 eine größer Tiefe 500 als der Luftspalt 310 von 8B. Insofern kann der Luftspalt 310 von 14 ein kleineres Volumen haben als der Luftspalt 310 in 8B.
  • In einem weiteren Beispiel, wie in der Querschnittsansicht von 15 gezeigt, ist in dem Luftspalt 310 kein Gate-Dielektrikum-Material mit hohem k-Wert und/oder Metall-Gate-Elektrodenmaterial ausgebildet. Wie oben besprochen, kann das daran liegen, dass der Luftspalt 310 hinreichend klein ist.
  • Es versteht sich, dass die Drauf- und Querschnittsansichten der in den 9-15 gezeigten unterschiedlichen Ausführungsformen des Luftspalts 310 lediglich Beispiele sind. In einer echten Fertigung brauchen die tatsächlichen Profile oder Formen des Luftspalts 310 nicht genau die geometrischen Formen (zum Beispiel einen Kreis oder ein Oval) zu haben. Vielmehr braucht der tatsächlich gebildete Luftspalt 310 nur ungefähr den oben besprochenen Formen oder Profilen zu ähneln, während er verschiedene Höcker, Vorsprünge und/oder Aussparungen entlang seiner Oberflächen aufweist, die nicht unbedingt glatt zu sein brauchen.
  • Ungeachtet der konkreten Ausführungsform des Luftspalts 310 versteht es sich, dass seine Bildung ein Ergebnis des einzigartigen Fertigungsprozessflusses der oben besprochenen vorliegenden Offenbarung sein kann. Zum Beispiel bewirkt der „Rückzugs“-Prozess 250, der oben mit Bezug auf 4B besprochen wurde, „Überhänge“ 170A der strukturierten Maskenschicht 170. Die „Überhänge“ 170A können das anschließende Abscheiden des dielektrischen Materials 300 in der Öffnung unter den „Überhängen“ 170A teilweise behindern oder stören. Das behinderte Abscheiden des dielektrischen Materials 300 kann den Luftspalt 310 innerhalb des dielektrischen Materials 300 einschließen. Da der Luftspalt 310 zum größten Teil Luft enthält, die einen relativ hohen spezifischen elektrischen Widerstand aufweist, bietet der Luftspalt 310 eine gute elektrische Isolierung zwischen den benachbarten Metall-Gate-Strukturen mit hohem k-Wert 460.
  • 16 ist ein Flussdiagramm eines Verfahrens 900 für die Fertigung eines FinFET-Bauelements gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Das Verfahren 900 enthält einen Schritt 910 des Bildens einer Dummy-Gate-Schicht über einem Substrat.
  • Das Verfahren 900 enthält einen Schritt 920 des Bildens einer strukturierten Maske über der Dummy-Gate-Schicht, wobei die strukturierte Maske eine Öffnung enthält.
  • Das Verfahren 900 enthält einen Schritt 930 des Ätzens der Öffnung in die Dummy-Gate-Schicht hinein. Die strukturierte Maske dient als eine Schutzmaske während des Ätzens.
  • Das Verfahren 900 enthält einen Schritt 940 des Ausführens eines seitlichen Ätzprozesses an Abschnitten der Dummy-Gate-Schicht, die durch die Öffnung freigelegt werden. Der seitliche Ätzprozess ätzt die Dummy-Gate-Schicht fort, ohne die strukturierte Maske nennenswert zu beeinflussen. In einigen Ausführungsformen trennt das in Schritt 930 ausgeführte Ätzen der Öffnung die Dummy-Gate-Schicht in ein erstes Segment und ein zweites Segment, aber untere Abschnitte des ersten Segments und des zweiten Segments bleiben weiterhin in Kontakt miteinander. In einigen Ausführungsformen wird der in Schritt 940 ausgeführte seitliche Ätzprozess dergestalt ausgeführt, dass das erste Segment und das zweite Segment der Dummy-Gate-Schicht nicht mehr in Kontakt miteinander stehen.
  • Das Verfahren 900 enthält nach dem seitlichen Ätzen einen Schritt 950 des Bildens eines dielektrischen Materials in der Öffnung. Ein Luftspalt wird in dem dielektrischen Material ausgebildet. In einigen Ausführungsformen bewirkt der in Schritt 940 ausgeführte seitliche Ätzprozess, dass Abschnitte der strukturierten Maske Überhänge über der Öffnung bilden. Der Luftspalt entsteht in dem dielektrischen Material mindestens zum Teil aufgrund der Überhänge.
  • Das Verfahren 900 enthält nach dem Ausbilden des Luftspalts einen Schritt 960 des Entfernens der strukturierten Maske und von Abschnitten des dielektrischen Materials, die über der strukturierten Maske ausgebildet wurden.
  • Das Verfahren 900 enthält einen Schritt 970 des Ersetzens der Dummy-Gate-Schicht durch ein Metall-haltiges Gate. In einigen Ausführungsformen umfasst das Ersetzen das Entfernen der Dummy-Gate-Schicht und das Bilden einer ersten Gate-Struktur und einer zweiten Gate-Struktur als das Metall-haltige Gate. Die erste Gate-Struktur und die zweite Gate-Struktur werden auf gegenüberliegenden Seiten des Luftspalts gebildet. In einigen Ausführungsformen umfasst das Bilden der ersten Gate-Struktur und der zweiten Gate-Struktur das Abscheiden eines Gate-Dielektrikums mit hohem k-Wert und einer Metall-Gate-Elektrode anstelle der entfernten Dummy-Gate-Schicht. In einigen Ausführungsformen scheidet das Abscheiden Abschnitte des Gate-Dielektrikums mit hohem k-Wert oder Abschnitte der Metall-Gate-Elektrode in dem Luftspalt ab.
  • Es versteht sich, dass zusätzliche Prozessschritte vor, während oder nach den oben besprochenen Schritten 910-970 ausgeführt werden können, um die Fertigung der Halbleitervorrichtung zu vollenden. Zum Beispiel kann das Verfahren 900, bevor die Dummy-Gate-Schicht ausgebildet wird, des Weiteren einen Schritt des Bildes einer ersten Finnenstruktur und einer zweiten Finnenstruktur enthalten, die jeweils aufwärts von dem Substrat hervorstehen. Die erste Gate-Struktur und die zweite Gate-Struktur werden so gebildet, dass sie sich um die erste Finnenstruktur bzw. die zweite Finnenstruktur herum legen. In einigen Ausführungsformen werden die erste Gate-Struktur und die zweite Gate-Struktur jeweils so ausgebildet, dass sie sich entlang einer ersten Richtung erstrecken; die erste Finnenstruktur und die zweite Finnenstruktur werden jeweils so ausgebildet, dass sie sich entlang einer zweiten Richtung erstrecken, die eine andere ist als die erste Richtung; und der Luftspalt trennt die erste Gate-Struktur und die zweite Gate-Struktur in der ersten Richtung. Es können noch weitere Schritte ausgeführt werden, wie zum Beispiel Kontaktbildung, Tests, Verkapseln usw.
  • Auf der Grundlage der obigen Besprechungen ist zu erkennen, dass die vorliegende Offenbarung Vorteile gegenüber herkömmlichen FinFETs und ihrer Fertigung bietet. Es versteht sich jedoch, dass andere Ausführungsformen auch zusätzliche Vorteile bieten können und dass im vorliegenden Text nicht unbedingt alle Vorteile offenbart sind, und dass kein bestimmter Vorteil für alle Ausführungsformen erforderlich ist. Ein Vorteil ist, dass die vorliegende Offenbarung durch die Verwendung des seitlichen Ätzprozesses zum „Zurückziehen“ der Dummy-Gate-Schicht die Prozessfenster vergrößert und den Lithografie- und Ätzprozessaufwand reduziert. Der seitliche Ätzprozess beseitigt (oder reduziert) auch die Rückstände der Dummy-Gate-Schicht, die durch den Dummy-Gate-„Schnitt“ hätten entfernt werden sollen. Das Beseitigen oder Reduzieren der Rückstände der Dummy-Gate-Schicht minimiert das „Basis“-Profil des Dummy-Gates. Zusätzlich zur Verbesserung der kritischen Abmessung verringert die Reduzierung des „Basis“-Profils auch die Risiken des Überbrückens von Leitungsenden, wenn Metall-Gates mit hohem k-Wert gebildet werden, um die Dummy-Gates zu ersetzen. Folglich ist es bei den gemäß der vorliegenden Offenbarung hergestellten FinFET-Bauelementen weniger wahrscheinlich, dass sie Kriechstrom-Probleme oder sonstige Zuverlässigkeitsprobleme haben, und sie können eine bessere Produktionsausbeute erbringen als herkömmliche FinFET-Bauelemente. Des Weiteren erlaubt der im vorliegenden Text beschriebene einzigartige Prozessfluss das Einbetten eines Luftspalts in das dielektrische Material zwischen den benachbarten Metall-Gates mit hohem k-Wert. Aufgrund des hohen spezifischen elektrischen Widerstands des Luftspalts bieten die gemäß dem vorliegenden Text hergestellten FinFET-Bauelemente auch eine verbesserte elektrische Isolierung zwischen Transistoren, die nahe beieinander liegen. Außerdem sind die verschiedenen Aspekte der vorliegenden Offenbarung mit dem derzeitigen Fertigungsprozessfluss kompatibel und sind einfach zu implementieren, und sind darum in der echten Fertigung kostengünstig zu implementieren.
  • Eine Ausführungsform der vorliegenden Offenbarung beinhaltet eine Halbleitervorrichtung. Die Halbleitervorrichtung enthält eine erste Gate-Struktur, die über einem Substrat angeordnet ist, wobei sich die erste Gate-Struktur in einer ersten Richtung erstreckt. Die Halbleitervorrichtung enthält eine zweite Gate-Struktur, die über dem Substrat angeordnet ist, wobei sich die zweite Gate-Struktur in der ersten Richtung erstreckt. Die Halbleitervorrichtung enthält ein dielektrisches Material, das zwischen der ersten Gate-Struktur und der zweiten Gate-Struktur angeordnet ist. Die Halbleitervorrichtung enthält einen Luftspalt, der innerhalb des dielektrischen Materials angeordnet ist.
  • Eine weitere Ausführungsform der vorliegenden Offenbarung beinhaltet eine Halbleitervorrichtung. Die Halbleitervorrichtung enthält eine erste Finnenstruktur und eine zweite Finnenstruktur, die jeweils über ein Substrat hervorstehen. Die erste Finnenstruktur und die zweite Finnenstruktur erstrecken sich jeweils in einer ersten Richtung. Die Halbleitervorrichtung enthält eine erste Gate-Struktur und eine zweite Gate-Struktur, die sich über der ersten Finnenstruktur bzw. der zweiten Finnenstruktur befinden und diese teilweise umgeben. Die erste Gate-Struktur und die zweite Gate-Struktur erstrecken sich jeweils in einer zweiten Richtung, die senkrecht zu der ersten Richtung verläuft. Die Halbleitervorrichtung enthält ein erstes dielektrisches Material, das sich zwischen der ersten Gate-Struktur und der zweiten Gate-Struktur befindet. Die Halbleitervorrichtung enthält eine Leerstelle, die in das erste dielektrische Material eingebettet ist.
  • Eine weitere Ausführungsform der vorliegenden Offenbarung beinhaltet ein Verfahren. Eine Dummy-Gate-Schicht wird über einem Substrat ausgebildet. Eine strukturierte Maske wird über der Dummy-Gate-Schicht ausgebildet, wobei die strukturierte Maske eine Öffnung enthält. Die Öffnung wird in die Dummy-Gate-Schicht geätzt. Die strukturierte Maske dient als eine Schutzmaske während des Ätzens. Ein seitlicher Ätzprozess wird an Abschnitten der Dummy-Gate-Schicht, die durch die Öffnung freigelegt wird, ausgeführt. Der seitliche Ätzprozess ätzt die Dummy-Gate-Schicht fort, ohne die strukturierte Maske nennenswert zu beeinflussen. Nach dem seitlichen Ätzen wird ein dielektrisches Material in der Öffnung ausgebildet. Ein Luftspalt wird in dem dielektrischen Material ausgebildet. Nachdem der Luftspalt ausgebildet wurde, werden die strukturierte Maske und Abschnitte des dielektrischen Materials, die über der strukturierten Maske ausgebildet wurden, entfernt. Die Dummy-Gate-Schicht wird durch ein Metall-haltiges Gate ersetzt.
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen oder Beispiele, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen oder Beispielen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62586223 [0001]

Claims (20)

  1. Halbleitervorrichtung, die Folgendes umfasst: eine erste Gate-Struktur, die über einem Substrat angeordnet ist, wobei sich die erste Gate-Struktur in einer ersten Richtung erstreckt; eine zweite Gate-Struktur, die über dem Substrat angeordnet ist, wobei sich die zweite Gate-Struktur in der ersten Richtung erstreckt; ein dielektrisches Material, das zwischen der ersten Gate-Struktur und der zweiten Gate-Struktur angeordnet ist; und einen Luftspalt, der innerhalb des dielektrischen Materials angeordnet ist.
  2. Halbleitervorrichtung nach Anspruch 1, die des Weiteren ein Dielektrikum mit hohem k-Wert oder ein Metall umfasst, das innerhalb des dielektrischen Materials angeordnet ist.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei die erste Gate-Struktur und die zweite Gate-Struktur voneinander in der ersten Richtung getrennt sind.
  4. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, die des Weiteren Folgendes umfasst: eine erste Finnenstruktur, die aus dem Substrat aufwärts vorsteht, wobei die erste Gate-Struktur teilweise um die erste Finnenstruktur herum gelegt ist; und eine zweite Finnenstruktur, die aus dem Substrat aufwärts vorsteht, wobei die zweite Gate-Struktur teilweise um die zweite Finnenstruktur herum gelegt ist.
  5. Halbleitervorrichtung nach Anspruch 4, wobei sich die erste Finnenstruktur und die zweite Finnenstruktur jeweils in einer zweiten Richtung erstrecken, die eine andere ist als die erste Richtung.
  6. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei die erste Gate-Struktur und die zweite Gate-Struktur jeweils ein Gate-Dielektrikum mit hohem k-Wert und eine Metall-Gate-Elektrode enthalten.
  7. Halbleitervorrichtung nach einem der vorangehenden Ansprüche 1, wobei eine Distanz, die die erste Gate-Struktur und die zweite Gate-Struktur trennt, in einem Bereich zwischen etwa 5 Nanometern und etwa 50 Nanometern liegt.
  8. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei eine seitliche Abmessung des Luftspalts in einem Bereich zwischen etwa 1 Nanometer und etwa 10 Nanometern liegt.
  9. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, die des Weiteren eine Isolierungsstruktur umfasst, die unter der ersten Gate-Struktur, der zweiten Gate-Struktur und dem dielektrischen Material angeordnet ist, wobei eine Distanz zwischen einem untersten Abschnitt des Luftspalts und einer Oberseite der Isolierungsstruktur in einem Bereich zwischen etwa 1 Nanometer und etwa 100 Nanometern liegt.
  10. Halbleitervorrichtung, die Folgendes umfasst: eine erste Finnenstruktur und eine zweite Finnenstruktur, die jeweils über ein Substrat hervorstehen, wobei sich die erste Finnenstruktur und die zweite Finnenstruktur jeweils in einer ersten Richtung erstrecken; eine erste Gate-Struktur und eine zweite Gate-Struktur, die sich über der ersten Finnenstruktur bzw. der zweiten Finnenstruktur befinden und diese teilweise umgeben, wobei sich die erste Gate-Struktur und die zweite Gate-Struktur jeweils in einer zweiten Richtung erstrecken, die senkrecht zu der ersten Richtung verläuft; ein erstes dielektrisches Material, das sich zwischen der ersten Gate-Struktur und der zweiten Gate-Struktur befindet; und eine Leerstelle, die in das erste dielektrische Material eingebettet ist.
  11. Halbleitervorrichtung nach Anspruch 10, die des Weiteren ein zweites dielektrisches Material oder ein Metallmaterial umfasst, das in das erste dielektrische Material eingebettet ist.
  12. Halbleitervorrichtung nach Anspruch 10 oder 11, wobei die erste Gate-Struktur und die zweite Gate-Struktur jeweils ein Gate-Dielektrikum mit hohem k-Wert und eine Metall-Gate-Elektrode enthalten.
  13. Verfahren, das Folgendes umfasst: Bilden einer Dummy-Gate-Schicht über einem Substrat; Bilden einer strukturierten Maske über der Dummy-Gate-Schicht, wobei die strukturierte Maske eine Öffnung enthält; Ätzen der Öffnung in die Dummy-Gate-Schicht hinein, wobei die strukturierte Maske als eine Schutzmaske während des Ätzens dient; Ausführen eines seitlichen Ätzprozesses an Abschnitten der Dummy-Gate-Schicht, die durch die Öffnung freigelegt werden, wobei der seitliche Ätzprozess die Dummy-Gate-Schicht fort ätzt, ohne die strukturierte Maske nennenswert zu beeinflussen; nach dem seitlichen Ätzen, Bilden eines dielektrischen Materials in der Öffnung, wobei ein Luftspalt in dem dielektrischen Material ausgebildet wird; nachdem der Luftspalt ausgebildet wurde, Entfernen der strukturierten Maske und von Abschnitten des dielektrischen Materials, das über der strukturierten Maske ausgebildet wurde; und Ersetzen der Dummy-Gate-Schicht durch ein Metall-haltiges Gate.
  14. Verfahren nach Anspruch 13, wobei das Ersetzen das Entfernen der Dummy-Gate-Schicht und das Bilden einer ersten Gate-Struktur und einer zweiten Gate-Struktur als das Metall-haltige Gate umfasst, und wobei die erste Gate-Struktur und die zweite Gate-Struktur auf gegenüberliegenden Seiten des Luftspalts gebildet werden.
  15. Verfahren nach Anspruch 14, wobei das Bilden der ersten Gate-Struktur und der zweiten Gate-Struktur das Abscheiden eines Gate-Dielektrikums mit hohem k-Wert und einer Metall-Gate-Elektrode anstelle der entfernten Dummy-Gate-Schicht umfasst.
  16. Verfahren nach Anspruch 15, wobei das Abscheiden Abschnitte des Gate-Dielektrikums mit hohem k-Wert oder von Abschnitten der Metall-Gate-Elektrode in dem Luftspalt abscheidet.
  17. Verfahren nach einem der vorangehenden Ansprüche 14 bis 16, das des Weiteren vor dem Bilden der Dummy-Gate-Schicht das Bilden einer ersten Finnenstruktur und einer zweiten Finnenstruktur umfasst, die jeweils aufwärts aus dem Substrat hervorstehen, und wobei die erste Gate-Struktur und die zweite Gate-Struktur so ausgebildet werden, dass sie sich um die erste Finnenstruktur bzw. die zweite Finnenstruktur herum legen.
  18. Verfahren nach Anspruch 17, wobei: die erste Gate-Struktur und die zweite Gate-Struktur jeweils so ausgebildet werden, dass sie sich entlang einer ersten Richtung erstrecken; die erste Finnenstruktur und die zweite Finnenstruktur jeweils so ausgebildet werden, dass sie sich entlang einer zweiten Richtung erstrecken, die eine andere ist als die erste Richtung; und der Luftspalt die erste Gate-Struktur und die zweite Gate-Struktur in der ersten Richtung trennt.
  19. Verfahren nach einem der vorangehenden Ansprüche 13 bis 18, wobei: der seitliche Ätzprozess bewirkt, dass Abschnitte der strukturierten Maske Überhänge über der Öffnung bilden; und der Luftspalt in dem dielektrischen Material mindestens zum Teil aufgrund der Überhänge ausgebildet wird.
  20. Verfahren nach einem der vorangehenden Ansprüche 13 bis 19, wobei: das Ätzen der Öffnung die Dummy-Gate-Schicht in ein erstes Segment und ein zweites Segment trennt, aber untere Abschnitten des ersten Segments und des zweiten Segments weiterhin in Kontakt miteinander bleiben; und der seitliche Ätzprozess dergestalt ausgeführt wird, dass das erste Segment und das zweite Segment der Dummy-Gate-Schicht nicht mehr in Kontakt miteinander stehen.
DE102018100297.1A 2017-11-15 2018-01-09 FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung Pending DE102018100297A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586223P 2017-11-15 2017-11-15
US62/586,223 2017-11-15
US15/847,307 2017-12-19
US15/847,307 US10366915B2 (en) 2017-11-15 2017-12-19 FinFET devices with embedded air gaps and the fabrication thereof

Publications (1)

Publication Number Publication Date
DE102018100297A1 true DE102018100297A1 (de) 2019-05-16

Family

ID=66432391

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018100297.1A Pending DE102018100297A1 (de) 2017-11-15 2018-01-09 FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung

Country Status (5)

Country Link
US (3) US10366915B2 (de)
KR (1) KR102096974B1 (de)
CN (2) CN115985912A (de)
DE (1) DE102018100297A1 (de)
TW (1) TWI685900B (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US11404536B2 (en) * 2018-03-30 2022-08-02 Intel Corporation Thin-film transistor structures with gas spacer
KR102636464B1 (ko) * 2018-06-12 2024-02-14 삼성전자주식회사 게이트 분리층을 갖는 반도체 소자 및 그 제조 방법
US12009266B2 (en) 2019-12-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for fringing capacitance control
US11417750B2 (en) 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
CN113394098A (zh) * 2020-03-13 2021-09-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11164793B2 (en) * 2020-03-23 2021-11-02 International Business Machines Corporation Reduced source/drain coupling for CFET
US11532479B2 (en) * 2020-04-01 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate refill with void
CN113764278A (zh) * 2020-06-03 2021-12-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US20230008494A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistor devices and methods of forming same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530890B1 (en) * 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US20170229452A1 (en) * 2016-02-05 2017-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9805983B1 (en) * 2016-08-19 2017-10-31 International Business Machines Corporation Multi-layer filled gate cut to prevent power rail shorting to gate structure

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
JP4827639B2 (ja) * 2006-07-12 2011-11-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
JP4729060B2 (ja) * 2008-02-26 2011-07-20 株式会社東芝 半導体記憶装置の製造方法
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
JP2009302116A (ja) * 2008-06-10 2009-12-24 Toshiba Corp 半導体装置およびその製造方法
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8519481B2 (en) * 2009-10-14 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR20120015178A (ko) * 2010-08-11 2012-02-21 삼성전자주식회사 반도체 소자 및 반도체 소자 제조 방법
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR20120057794A (ko) * 2010-11-29 2012-06-07 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
CN105225951B (zh) * 2014-05-30 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR102150254B1 (ko) * 2014-09-15 2020-09-02 삼성전자주식회사 반도체 소자의 제조 방법
KR102217246B1 (ko) 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102290793B1 (ko) 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US9660022B2 (en) * 2015-08-20 2017-05-23 United Microelectronics Corp. Semiconductive device with a single diffusion break and method of fabricating the same
KR102402482B1 (ko) * 2015-11-27 2022-05-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9728444B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation Reactive ion etching assisted lift-off processes for fabricating thick metallization patterns with tight pitch
US9847330B2 (en) * 2016-02-05 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10056289B1 (en) * 2017-04-20 2018-08-21 International Business Machines Corporation Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530890B1 (en) * 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US20170229452A1 (en) * 2016-02-05 2017-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9805983B1 (en) * 2016-08-19 2017-10-31 International Business Machines Corporation Multi-layer filled gate cut to prevent power rail shorting to gate structure

Also Published As

Publication number Publication date
TWI685900B (zh) 2020-02-21
US11043408B2 (en) 2021-06-22
US10366915B2 (en) 2019-07-30
TW201923906A (zh) 2019-06-16
KR20190055687A (ko) 2019-05-23
US20190148214A1 (en) 2019-05-16
US20210313216A1 (en) 2021-10-07
CN109786329A (zh) 2019-05-21
US20190148215A1 (en) 2019-05-16
KR102096974B1 (ko) 2020-04-06
CN115985912A (zh) 2023-04-18

Similar Documents

Publication Publication Date Title
DE102018100297A1 (de) FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung
DE102016115986B4 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102017122830B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE102014222562B4 (de) Verfahren zum bilden von austauschgatestrukturen und von fins auf finfet-vorrichtungen und die resultierenden vorrichtungen
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102019116859A1 (de) Verfahren zum herstellen einer halbleitervorrichtung, und eine halbleitervorrichtung
DE102017118203A1 (de) Schwellspannungseinstellung für eine gate-all-around halbleiterstruktur
DE102019126237A1 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102019116998B4 (de) Leitfähiger kontakt mit treppenartigen barriereschichten
DE102019112545A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102016114869A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE112011105702T5 (de) Source-/Drain-Kontakte für nicht planare Transistoren
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102019115937A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102019126920A1 (de) Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
DE102017124637A1 (de) Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
DE102009031111B4 (de) Kontaktoptimierung zur Verbesserung der Verspannungsübertragung in dicht liegenden Transistoren
DE102017126225A1 (de) Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
DE102019210597B4 (de) Verfahren zum Bilden von Abstandhaltern neben Gatestrukturen einer Transistorvorrichtung und integriertes Schaltungsprodukt
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication