CN103050515A - 晶体管及其制造方法 - Google Patents

晶体管及其制造方法 Download PDF

Info

Publication number
CN103050515A
CN103050515A CN201210385506XA CN201210385506A CN103050515A CN 103050515 A CN103050515 A CN 103050515A CN 201210385506X A CN201210385506X A CN 201210385506XA CN 201210385506 A CN201210385506 A CN 201210385506A CN 103050515 A CN103050515 A CN 103050515A
Authority
CN
China
Prior art keywords
grid structure
air gap
conducting channel
sept
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210385506XA
Other languages
English (en)
Other versions
CN103050515B (zh
Inventor
安藤崇志
J.B.常
S.K.卡纳卡萨巴帕西
P.库卡尼
T.E.斯坦达特
山下典洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103050515A publication Critical patent/CN103050515A/zh
Application granted granted Critical
Publication of CN103050515B publication Critical patent/CN103050515B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种晶体管,例如,FinFET,该晶体管包括设置在基板之上的栅极结构。栅极结构具有宽度以及限定栅极结构的两个相对侧壁的长度和高度。晶体管还包括:至少一个导电沟道,设在源极区域和漏极区域之间且通过栅极结构的侧壁;电介质层,设置在栅极结构之上以及导电沟道的在栅极结构外侧的部分之上;以及气隙,设在电介质层下。气隙设置为相邻于栅极结构的侧壁且用于减小晶体管的寄生电容。本发明还公开了制造该晶体管的至少一种方法。

Description

晶体管及其制造方法
技术领域
本发明的示范性实施例总体上涉及场效晶体管(FET),更具体地,涉及诸如FinFET的多栅极FET和减小寄生电容的技术。
背景技术
根本上,FET是具有源极、栅极和漏极的晶体管。FET的运转取决于多数载流子沿着源极和漏极之间的沟道而通过栅极的流动。通过源极和漏极之间的沟道的电流由栅极下的横向电场控制。为了更加有效地控制沟道,可采用多于一个的栅极(多栅极)。栅极的长度决定了FET开关的快慢,并且可与沟道的长度(即源极和漏极之间的距离)大致相同。
通过采用一个或多个鳍状沟道,FET的尺寸已经得到成功减小。采用这样沟道结构的FET可称为FinFET。过去,互补金属氧化物半导体(CMOS)器件沿着半导体基板的表面实质上为平面的,例外的是FET栅极设置在沟道的顶部之上。为了最大化沟道暴露到栅极的表面面积,通过采用垂直沟道而使得鳍突破了该示例。栅极控制沟道更强,因为它延伸在沟道的多于一侧(表面)。例如,栅极可围绕三维沟道的三个表面,而不是设置为仅跨过传统平面沟道的顶表面。在某些器件中,栅极可完全围绕沟道,即,悬浮(suspended)沟道通过栅极且沟道的所有表面暴露到栅极。
与传统的平面FET相比,制造多栅极FET的一个挑战是固有的高寄生电容。例如,可参考X.Wang等人发表于Simulation of Semiconductor Processand Device,Vol.12,pages.125-128的"Simulation Study of Multiple FINFinFET Device Design for 32nm Technology Node and Beyond"以及C.R.Manoj等人发表于IEEE Electron Device Letters,Vol.31,p.83-85的"Impact ofFringe Capacitance on Performance of Nanoscale FinFET"。
发明内容
在本发明示范性实施例的一个方面中,提供一种晶体管,其包括设置在基板之上的栅极结构。该栅极结构具有宽度以及限定栅极结构的两个相对侧壁的长度和高度。该晶体管还包括:至少一个导电沟道,设在源极区域和漏极区域之间且通过栅极结构的侧壁;电介质层,设置在栅极结构以及导电沟道的在栅极结构外侧的部分之上;以及气隙,位于电介质层之下。气隙设置为相邻于栅极结构的侧壁。
在本发明示范性实施例的另一个方面中,提供一种制造晶体管的方法。该方法包括在基板之上形成位于源极区域和漏极区域之间的至少一个导电沟道,并且形成设置在至少一个导电沟道的一部分之上的栅极结构。栅极结构具有限定栅极结构的两个相对侧壁的宽度、长度和高度。栅极结构形成为使至少一个导电沟道通过栅极结构的侧壁。该方法还包括:在栅极结构的侧壁上形成间隔物;在至少一个导电沟道之上形成外延硅层;去除间隔物;以及形成设置在栅极结构之上以及导电沟道的在栅极结构外侧的部分之上的电介质层,使气隙位于电介质层之下。气隙设置为在间隔物先前占据的区域中相邻于栅极结构的侧壁。
在本发明示范性实施例的另一个方面中,提供了在多栅极场效晶体管中减小寄生电容的方法。该方法包括:在源极区域和漏极区域之间制造多个鳍;制造栅极结构使多个鳍通过栅极结构的侧壁;以及在电介质材料层中包封多栅极场效晶体管的至少部分,使气隙形成为相邻于栅极结构的侧壁且在电介质材料层之下。
附图说明
图1A-1G,共同称为图1,示出了制造FinFET器件的一个示范性工序的概图(侧壁成像转移);其中
图1A示出了绝缘体上硅(SOI)层上形成的SiO2层上的多晶硅(多晶Si)芯棒(mandrel)的定义;
图1B示出了SIT节距加倍的结果,其中芯棒的侧壁具有SiN层形成于其上;
图1C示出了蚀刻操作和芯棒去除的结果以及所形成的鳍(没有示出SOI晶片的下层BOX(埋设氧化物)和基板);
图1D示出了栅极堆叠沉积和平坦化、硬掩模沉积、栅极光刻以及反应离子蚀刻的结果;
图1E示出了SiN偏移间隔物(offset spacer)形成(后面是延伸注入)的结果;
图1F示出了外延硅生长的结果,其提供覆盖鳍的外延Si层;以及
图1G示出了后面是S/D注入和快速热退火的偏移间隔物去除以及最终间隔物形成的结果。
图2A-2H,共同称为图2,示出了制造FinFET器件的另一个示范性方法,其中
图2A示出了基板和多晶硅结构上形成的硬掩模层;
图2B示出了氮化硅(SiN)结构沿着多晶硅结构的侧面形成;
图2C示出了蚀刻形成鳍结构的结果;
图2D示出了附加蚀刻以及垂直于鳍结构的栅极堆叠和SiN层形成的结果;
图2E示出了示出了去除硬掩模层和SiN层的一部分以及沿着栅极堆叠形成SiN间隔物的结果;
图2F示出了鳍结构上沉积的外延硅(外延Si)层;
图2G示出了形成源极和漏极区域的有角离子注入程序的截面图;以及
图2H示出了外延Si层上和栅极堆叠之上形成的硅化物层。
图3A示出了通过图1的示范性工艺或图2的示范性工艺形成的FinFET器件的截面图;
图3B示出了根据本发明实施例进一步处理的结果,其中去除了相邻于栅极结构的侧壁的SiN间隔物;以及
图3C示出了沉积层间电介质层以实质上均匀地覆盖FinFET结构并且在图3B中去除SiN间隔物的位置形成与栅极结构的侧壁相邻设置的下层气隙的结果。
具体实施方式
下面,在FinFET的上下文中描述本发明的示范性实施例。图1A-1G提供了一个示范性技术的总图,以制造根据共同转让的Chung-Hsun Lin和Josephine B.Chang的名称为“Recessed Contact for Multi-Gate FET OptimizingSeries Resistance”的美国专利申请公开US 2011/0049583 A1中示出的实施例的FinFET。图2A-2H提供了另一个示范性技术的总图,以制造根据共同转让的Josephine B.Chang、Leland Chang、Chung-Hsun Lin和Jeffery W.Sleight的名称为“Asymmetric FINFET Device with Improved ParasiticResistance and Capacitance”的美国专利申请公开US 2011/0065244 A1中示出的实施例的FinFET。
图1A-1G呈现在侧壁图像转移(SIT)制造技术的示范性上下文中,其采用间隔物作为硬掩模以限定鳍(fin)。然而,这仅是一个合适的FinFET制造技术,在使用和实施本发明时不应以限制的意思来解释。通常,本发明的示范性实施例可采用或不采用硬掩模。而且,示范性实施例可采用绝缘体上硅(SOI)基板或者它们可采用体基板。
图1A示出了绝缘体上硅(SOI)层1(没有示出SOI晶片的下层埋设氧化物(BOX)和基板)上形成的SiO2层2上的多晶硅(多晶Si)芯棒3的定义。图1B示出了SIT节距加倍的结果,其中芯棒3的侧壁具有SiN层4形成于其上。图1C示出了蚀刻操作和芯棒去除的结果以及所形成的鳍5(没有示出SOI晶片的下层BOX(埋设)和基板)。每个鳍5都是多层结构,由Si下层(其形成完成的FinFET的沟道)、SiO2中间层和SiN上层(其在执行图1D所示的操作前去除)组成。图1D示出了栅极堆叠沉积和平坦化、硬掩模沉积、栅极光刻和反应离子蚀刻(RIE)的结果。所形成的栅极堆叠6例如包括多晶Si栅极和上覆SiN层7。图1E示出了后面是延伸注入的SiN偏移间隔物8形成的结果。图1F示出了外延硅(外延Si)生长的结果,其提供覆盖鳍5因此与鳍合并的外延Si层9。图1G示出了后面是S/D注入和快速热退火(RTA)的偏移间隔物去除及最终间隔物形成的结果。所形成的FinFET器件20包括硅化物层10,位于栅极堆叠6的顶部之上和鳍外延Si之上。通常,图1D-1G示出了图1C的转换成S/D的暴露鳍5。硅化物层10的厚度可为约5nm至约30nm(或更厚)的范围。在某些实施例中,硅化物层10可具有约10nm的常规厚度。硅化物层10可由任何适当的硅化物,例如硅化钴(CoSi2)、硅化镍(NiSi)或硅化铂(PtSi、Pt2Si),组成,作为非限定性示例。
图2A-2H示出了制造FinFET器件100的另一个示范性方法。参见图2A,二氧化硅(SiO2)(或氮化硅(SiN))硬掩模层104形成在基板上。在所示的实施例中,基板可为绝缘体上硅(SOI)层111。在其它实施例中,基板可为体基板。多晶硅结构204通过沉积和蚀刻工艺形成在硬掩模层104上。参见图2B,氮化硅(SiN)结构206采用沉积和蚀刻工艺形成为沿着多晶硅结构204的侧面。在图2C中,多晶硅结构204以及硬掩模层104和SOI层111的部分被蚀刻以形成鳍结构208。所示的两个鳍结构208是示范性的,因为可形成多于两个或少于两个的鳍结构。在图2D中,蚀刻SiN结构206,并且栅极堆叠部分102和SiN层210形成为垂直于鳍结构208。参见图2E,去除硬掩模层104和SiN层210的部分,并且SiN间隔物106形成为沿着栅极堆叠部分102。在图2F中,外延硅(外延Si)层214沉积在剩余的鳍结构205之上,用以并入鳍结构。图2G示出了离子注入的截面图,其在SOI层111中形成源极区域108和漏极区域110。在所示的非限定性实施例中,离子203以关于垂直于源极区域108的线的角度(θ)注入。栅极堆叠部分102和间隔物106部分地阻挡一部分离子203不以很大的浓度沉积在SOI层111的部分212中,并且以倾斜角度(θ)的注入导致重叠的源极区域108和偏移的漏极区域110。注入角度的范围可为0-90度,以及0-90度之间的任何另外的角度。在所示的实施例中示出了20-30度的示范性注入角度。参见图2H,硅化物层216形成在外延Si层214上以及栅极堆叠部分102之上。
图3A示出了由图1的示范性工艺或图2的示范性工艺形成的FinFET器件的截面图。栅极堆叠6或102示出为分别设置在SiN间隔物8或106之间。实际上,在形成SiN间隔物8或106时,它们显示为总体上锥形的上部外形,其中厚度朝着间隔物的顶部逐渐减小。源极(S)和漏极(D)注入300、302可位于下层SOI或体基板材料中。
图3B示出了根据本发明实施例进一步处理的结果,其中去除了SiN间隔物8或106。这可由例如通过采用热磷酸的湿化学蚀刻工艺实现。
图3C示出了沉积层间电介质(ILD)层的结果,其例如为SiN盖层310。可见,SiN盖层310实质上均匀地涂敷FinFET 20或100的结构。然而,根据本发明的实施例,在图3B中SiN间隔物8或106被去除的区域中,夹断(pinch-off)区域312形成为靠近顶部,留下相邻于栅极堆叠6或102的侧壁设置的下层气隙314。气隙314沿着栅极堆叠的侧壁实质上为连续的,除了鳍5或205从硅化物部分10或216延伸进入栅极堆叠6或102的位置外。
在非限定性实施例中,初始SiN间隔物8或106的厚度范围可为约5nm至约10nm,于是变为接近气隙314的宽度。SiN盖层可采用相对低温(例如,约350℃)等离子体增强化学气相沉积(PECVD)工艺沉积。采用低温(~350℃)PECVD工艺的Si3N4是一种适当工艺的非限定性示例,以形成盖层而产生气隙314。
空气的介电常数与约7-7.5的SiN的介电常数相比为大致一致。因此,由气隙314形成的所得间隔物通过减小栅极和源极/漏极之间,即分别在图1和2的示范性FinFET实施例20或100的栅极堆叠6或102与鳍5或205之间的寄生电容而提供增强的电性能。
通常,根据本发明实施例的FinFET 20或100可实施为N型器件或P型器件。栅极堆叠可采用多晶Si或金属制造,可采用任何适当的栅极电介质材料,并且硅化物层可由任何适当类型的硅化物组成。FinFET 20或100可构造为具有通过栅极堆叠宽度的单鳍或沟道结构,或者可有通过栅极堆叠宽度的两个或多个鳍或沟道结构。显然,本发明的示范性实施例应用于多栅极晶体管。另外,应理解的是,本发明的示范性实施例还应用于配线型晶体管,其中沟道结构通过栅极堆叠的宽度,使沟道结构的所有表面(即顶表面、两侧表面和底表面)相邻于栅极堆叠或由其围绕,并且可受到栅极堆叠的电影响。在该实施例中,可有通过栅极堆叠宽度的任何数量的配线型沟道结构。应理解的是,FinFET 20或100,或者更通常的晶体管20或100,不应解释为限于或仅仅为上述的示范性的几何形状、材料、电介质膜、制造工艺、尺寸和/或层厚度。
因此,结合附图和所附权利要求阅读时,考虑到前面的描述,相关技术领域的技术人员可显而易见地进行特种修改和变化。然而,本发明教导的所有这样的和类似的修改仍将落入本发明的范围。

Claims (20)

1.一种晶体管,包括:
栅极结构,设置在基板之上,所述栅极结构具有宽度以及限定所述栅极结构的两个相对侧壁的长度和高度;
至少一个导电沟道,形成在源极区域和漏极区域之间且通过所述栅极结构的所述侧壁;
电介质层,设置在所述栅极结构上及所述导电沟道的在所述栅极结构外侧的部分之上;以及
气隙,在所述电介质层之下,所述气隙设置为相邻于所述栅极结构的所述侧壁。
2.根据权利要求1所述的晶体管,其中所述气隙的宽度为约5nm至约10nm。
3.根据权利要求2所述的晶体管,其中所述气隙从所述气隙的相邻于所述基板的底部到由所述电介质层的桥接所述气隙的顶部的下表面限定的夹断区域实质上为连续的。
4.根据权利要求1所述的晶体管,其中所述电介质层由SiN或Si3N4组成。
5.根据权利要求1所述的晶体管,其中并非所述至少一个导电沟道的所有表面都受到所述栅极结构的电影响。
6.根据权利要求1所述的晶体管,其中所述至少一个导电沟道的所有表面受到所述栅极结构的电影响。
7.一种制造晶体管的方法,包括:
在基板之上形成在源极区域和漏极区域之间的至少一个导电沟道;
形成栅极结构,以设置在所述至少一个导电沟道的一部分之上,所述栅极结构具有宽度和限定所述栅极结构的两个相对侧壁的长度和高度,并且所述栅极结构形成为使得所述至少一个导电沟道通过所述栅极结构的所述侧壁;
在所述栅极结构的所述侧壁上形成间隔物;
在所述至少一个导电沟道之上形成外延硅层;
去除所述间隔物;以及
形成电介质层,以设置在所述栅极结构上以及所述导电沟道的在所述栅极结构外侧的部分之上,从而气隙位于所述电介质层之下,所述气隙设置为在由所述间隔物原来占据的区域中相邻于所述栅极结构的所述侧壁。
8.根据权利要求7所述的方法,其中所述气隙的宽度为约5nm至约10nm。
9.根据权利要求8所述的方法,其中所述气隙从所述气隙的相邻于所述基板的底部到由所述电介质层的桥接所述气隙的顶部的下表面限定的夹断区域实质上为连续的。
10.根据权利要求7所述的方法,其中形成所述电介质层为沉积由SiN或Si3N4组成的层。
11.根据权利要求10所述的方法,其中沉积所述由SiN或Si3N4组成的层采用等离子体增强化学气相沉积工艺实现。
12.根据权利要求11所述的方法,其中所述等离子体增强化学气相沉积工艺在约350℃的温度执行。
13.根据权利要求7所述的方法,其中去除所述间隔物采用湿化学蚀刻工艺实现。
14.根据权利要求7所述的方法,其中并非所述至少一个导电沟道的所有表面都受到所述栅极结构的电影响。
15.根据权利要求7所述的方法,其中所述至少一个导电沟道的所有表面受到所述栅极结构的电影响。
16.一种减小多栅极场效晶体管中的寄生电容的方法,包括:
在源极区域和漏极区域之间制造多个鳍;
制造栅极结构,使所述多个鳍通过所述栅极结构的侧壁;以及
在电介质材料层中包封所述多栅极场效晶体管的至少一部分,使得气隙形成为相邻于所述栅极结构的所述侧壁且在所述电介质材料层之下。
17.根据权利要求16所述的方法,其中包封包括从所述栅极结构的所述侧壁去除间隔物的开始步骤,其中该气隙形成在被去除所述间隔物的区域中。
18.根据权利要求17所述的方法,其中所述间隔物的厚度为约5nm至约10nm,并且其中包封包括采用等离子增强体化学气相沉积工艺沉积由SiN或Si3N4组成的层,该等离子增强体化学气相沉积工艺在约350℃的温度执行。
19.根据权利要求17所述的方法,其中所述间隔物采用湿化学蚀刻工艺去除。
20.根据权利要求16所述的方法,其中所述多栅极场效晶体管是FinFET。
CN201210385506.XA 2011-10-13 2012-10-12 晶体管及其制造方法 Expired - Fee Related CN103050515B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/272,409 US8637930B2 (en) 2011-10-13 2011-10-13 FinFET parasitic capacitance reduction using air gap
US13/272,409 2011-10-13

Publications (2)

Publication Number Publication Date
CN103050515A true CN103050515A (zh) 2013-04-17
CN103050515B CN103050515B (zh) 2016-04-13

Family

ID=47225676

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210385506.XA Expired - Fee Related CN103050515B (zh) 2011-10-13 2012-10-12 晶体管及其制造方法

Country Status (4)

Country Link
US (2) US8637930B2 (zh)
CN (1) CN103050515B (zh)
DE (1) DE102012217491B4 (zh)
GB (1) GB2495606B (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104167393A (zh) * 2013-05-17 2014-11-26 中国科学院微电子研究所 半导体器件制造方法
CN104425594A (zh) * 2013-08-20 2015-03-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
CN106549059A (zh) * 2015-09-18 2017-03-29 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106898597A (zh) * 2015-12-18 2017-06-27 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106941118A (zh) * 2016-01-05 2017-07-11 联华电子股份有限公司 半导体结构及其制造方法
CN107045999A (zh) * 2016-02-05 2017-08-15 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN108074972A (zh) * 2016-11-15 2018-05-25 格芯公司 在主动区上方具有气隙间隔件与栅极接触的基于晶体管的半导体装置
CN108666268A (zh) * 2017-03-27 2018-10-16 格芯公司 形成气隙及在晶体管的主动区上面的栅极接触的方法
CN109427899A (zh) * 2017-08-29 2019-03-05 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN109599337A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TWI711075B (zh) * 2018-07-16 2020-11-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
CN112397589A (zh) * 2019-08-13 2021-02-23 美光科技公司 晶体管及形成晶体管的方法
CN113257823A (zh) * 2020-02-10 2021-08-13 华邦电子股份有限公司 半导体结构以及其形成方法
TWI800120B (zh) * 2015-07-17 2023-04-21 美商英特爾股份有限公司 具有氣隙間隔層的電晶體

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130039525A (ko) * 2011-10-12 2013-04-22 에스케이하이닉스 주식회사 다마신비트라인을 구비한 반도체장치 및 그 제조 방법
US8871626B2 (en) * 2011-12-20 2014-10-28 International Business Machines Corporation FinFET with vertical silicide structure
US9495404B2 (en) 2013-01-11 2016-11-15 Commvault Systems, Inc. Systems and methods to process block-level backup for selective file restoration for virtual machines
KR20140094917A (ko) * 2013-01-23 2014-07-31 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8826213B1 (en) * 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
US9023697B2 (en) * 2013-08-08 2015-05-05 International Business Machines Corporation 3D transistor channel mobility enhancement
US9391202B2 (en) 2013-09-24 2016-07-12 Samsung Electronics Co., Ltd. Semiconductor device
KR102200928B1 (ko) 2014-02-18 2021-01-11 삼성전자주식회사 낮은 기생 커패시턴스 성분을 갖는 트랜지스터와 이의 제조 방법
US9543407B2 (en) * 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
US9871121B2 (en) 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9318574B2 (en) * 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
US9293523B2 (en) 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US9349649B2 (en) * 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
KR102235614B1 (ko) 2014-09-17 2021-04-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9299835B1 (en) 2014-12-04 2016-03-29 International Business Machines Corporation Vertical field effect transistors
US9419091B1 (en) * 2015-02-04 2016-08-16 International Business Machines Corporation Trenched gate with sidewall airgap spacer
US9484250B2 (en) 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102365305B1 (ko) 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US10622457B2 (en) 2015-10-09 2020-04-14 International Business Machines Corporation Forming replacement low-K spacer in tight pitch fin field effect transistors
US10181527B2 (en) 2015-10-16 2019-01-15 Samsung Electronics Co., Ltd. FinFet having dual vertical spacer and method of manufacturing the same
US9530890B1 (en) 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US9536982B1 (en) * 2015-11-03 2017-01-03 International Business Machines Corporation Etch stop for airgap protection
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9368572B1 (en) 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US10256296B2 (en) 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
US9786737B2 (en) 2015-12-03 2017-10-10 International Business Machines Corporation FinFET with reduced parasitic capacitance
US9716154B2 (en) 2015-12-17 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having a gas-filled gap
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9805935B2 (en) * 2015-12-31 2017-10-31 International Business Machines Corporation Bottom source/drain silicidation for vertical field-effect transistor (FET)
US10388564B2 (en) 2016-01-12 2019-08-20 Micron Technology, Inc. Method for fabricating a memory device having two contacts
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9443982B1 (en) 2016-02-08 2016-09-13 International Business Machines Corporation Vertical transistor with air gap spacers
US9673293B1 (en) 2016-02-18 2017-06-06 International Business Machines Corporation Airgap spacers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11145739B2 (en) 2016-03-04 2021-10-12 Intel Corporation Field effect transistors with a gated oxide semiconductor source/drain spacer
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
CN116110941A (zh) 2016-04-25 2023-05-12 应用材料公司 水平环绕式栅极元件纳米线气隙间隔的形成
US9865738B2 (en) * 2016-04-29 2018-01-09 Samsung Electronics Co., Ltd. Fin field effect transistor (FinFET) having air gap and method of fabricating the same
US9735246B1 (en) 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9748380B1 (en) 2016-06-29 2017-08-29 International Business Machines Corporation Vertical transistor including a bottom source/drain region, a gate structure, and an air gap formed between the bottom source/drain region and the gate structure
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US9911804B1 (en) 2016-08-22 2018-03-06 International Business Machines Corporation Vertical fin field effect transistor with air gap spacers
US9721897B1 (en) 2016-09-27 2017-08-01 International Business Machines Corporation Transistor with air spacer and self-aligned contact
US9941352B1 (en) 2016-10-05 2018-04-10 International Business Machines Corporation Transistor with improved air spacer
US9985109B2 (en) 2016-10-25 2018-05-29 International Business Machines Corporation FinFET with reduced parasitic capacitance
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10535652B2 (en) 2016-10-27 2020-01-14 International Business Machines Corporation Fabrication of vertical fin field effect transistors having top air spacers and a self-aligned top junction
US9960275B1 (en) 2016-10-28 2018-05-01 Applied Materials, Inc. Method of fabricating air-gap spacer for N7/N5 finFET and beyond
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108122973B (zh) * 2016-11-28 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
US10319627B2 (en) * 2016-12-13 2019-06-11 Globalfoundries Inc. Air-gap spacers for field-effect transistors
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10199503B2 (en) 2017-04-24 2019-02-05 International Business Machines Corporation Under-channel gate transistors
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
EP3404702A1 (en) * 2017-05-15 2018-11-21 IMEC vzw A method for forming vertical channel devices
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216357B (zh) * 2017-06-30 2021-04-20 联华电子股份有限公司 半导体结构及其制作方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
DE102017126049B4 (de) * 2017-08-29 2024-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10411107B2 (en) 2017-09-01 2019-09-10 Globalfoundries Inc. Semiconductor device with airgap spacer for transistor and related method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10319833B1 (en) * 2017-12-04 2019-06-11 International Business Machines Corporation Vertical transport field-effect transistor including air-gap top spacer
US10229986B1 (en) 2017-12-04 2019-03-12 International Business Machines Corporation Vertical transport field-effect transistor including dual layer top spacer
US10411114B2 (en) 2017-12-21 2019-09-10 International Business Machines Corporation Air gap spacer with wrap-around etch stop layer under gate spacer
US10347744B1 (en) 2018-01-09 2019-07-09 International Business Machines Corporation Method and structure of forming FinFET contact
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US10367076B1 (en) 2018-01-31 2019-07-30 International Business Machines Corporation Air gap spacer with controlled air gap height
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10388747B1 (en) 2018-03-28 2019-08-20 Globalfoundries Inc. Gate contact structure positioned above an active region with air gaps positioned adjacent the gate structure
CN110400751B (zh) * 2018-04-25 2024-04-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10522649B2 (en) 2018-04-27 2019-12-31 International Business Machines Corporation Inverse T-shaped contact structures having air gap spacers
US10861953B2 (en) 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10833165B2 (en) 2018-04-30 2020-11-10 International Business Machines Corporation Asymmetric air spacer gate-controlled device with reduced parasitic capacitance
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10608096B2 (en) * 2018-06-11 2020-03-31 International Business Machines Corporation Formation of air gap spacers for reducing parasitic capacitance
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10535771B1 (en) 2018-06-25 2020-01-14 Globalfoundries Inc. Method for forming replacement air gap
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10950692B2 (en) * 2018-09-04 2021-03-16 Globalfoundries U.S. Inc. Methods of forming air gaps between source/drain contacts and the resulting devices
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10580692B1 (en) 2018-09-12 2020-03-03 International Business Machines Corporation Integration of air spacer with self-aligned contact in transistor
US10680102B2 (en) 2018-09-27 2020-06-09 International Business Machines Corporation Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10692987B2 (en) * 2018-10-19 2020-06-23 Globalfoundries Inc. IC structure with air gap adjacent to gate structure and methods of forming same
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10886378B2 (en) 2019-01-02 2021-01-05 Globalfoundries Inc. Method of forming air-gap spacers and gate contact over active region and the resulting device
US10840351B2 (en) * 2019-01-03 2020-11-17 International Business Machines Corporation Transistor with airgap spacer and tight gate pitch
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11798838B2 (en) 2019-03-19 2023-10-24 Intel Corporation Capacitance reduction for semiconductor devices based on wafer bonding
US10903331B2 (en) 2019-03-25 2021-01-26 International Business Machines Corporation Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US10978574B2 (en) 2019-07-08 2021-04-13 International Business Machines Corporation Floating gate prevention and capacitance reduction in semiconductor devices
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11145540B2 (en) 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11282920B2 (en) 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210038762A (ko) 2019-09-30 2021-04-08 삼성전자주식회사 반도체 장치
US11532561B2 (en) 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
DE102019133935B4 (de) * 2019-09-30 2022-11-03 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum ausbilden von transistorabstandshal-terstrukturen
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635560B (zh) 2019-10-08 2023-12-05 联华电子股份有限公司 鳍状晶体管结构及其制造方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11201229B2 (en) * 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TWI717970B (zh) * 2020-01-14 2021-02-01 華邦電子股份有限公司 半導體結構以及其形成方法
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
US11417750B2 (en) 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11101177B1 (en) 2020-02-19 2021-08-24 Winbond Electronics Corp. Semiconductor structure and method for forming the same
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11404537B2 (en) * 2020-04-17 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with air-void in spacer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11935931B2 (en) 2020-06-06 2024-03-19 International Business Machines Corporation Selective shrink for contact trench
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220231023A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet device and method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833588B2 (en) * 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
CN1577889A (zh) * 2003-06-27 2005-02-09 英特尔公司 具有应力施加层的非平面器件及制造方法
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413823B2 (ja) * 1996-03-07 2003-06-09 日本電気株式会社 半導体装置及びその製造方法
US6693335B2 (en) * 1998-09-01 2004-02-17 Micron Technology, Inc. Semiconductor raised source-drain structure
US20010045608A1 (en) 1999-12-29 2001-11-29 Hua-Chou Tseng Transister with a buffer layer and raised source/drain regions
US7045849B2 (en) * 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US6894326B2 (en) 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US7132342B1 (en) * 2004-12-03 2006-11-07 National Semiconductor Corporation Method of reducing fringing capacitance in a MOSFET
EP1835530A3 (en) * 2006-03-17 2009-01-28 Samsung Electronics Co., Ltd. Non-volatile memory device and method of manufacturing the same
KR101177282B1 (ko) * 2006-03-24 2012-08-24 삼성전자주식회사 반도체 메모리 소자의 제조 방법
US7560344B2 (en) * 2006-11-15 2009-07-14 Samsung Electronics Co., Ltd. Semiconductor device having a pair of fins and method of manufacturing the same
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8362568B2 (en) 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US8138030B2 (en) 2009-09-15 2012-03-20 International Business Machines Corporation Asymmetric finFET device with improved parasitic resistance and capacitance
US8519481B2 (en) 2009-10-14 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
CN102214595B (zh) 2011-05-26 2012-10-10 北京大学 一种空气为侧墙的围栅硅纳米线晶体管的制备方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833588B2 (en) * 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
CN1577889A (zh) * 2003-06-27 2005-02-09 英特尔公司 具有应力施加层的非平面器件及制造方法
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104167393A (zh) * 2013-05-17 2014-11-26 中国科学院微电子研究所 半导体器件制造方法
CN104167393B (zh) * 2013-05-17 2018-09-18 中国科学院微电子研究所 半导体器件制造方法
CN104425594A (zh) * 2013-08-20 2015-03-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
TWI800120B (zh) * 2015-07-17 2023-04-21 美商英特爾股份有限公司 具有氣隙間隔層的電晶體
CN106549059B (zh) * 2015-09-18 2019-10-11 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106549059A (zh) * 2015-09-18 2017-03-29 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106898597A (zh) * 2015-12-18 2017-06-27 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US10879364B2 (en) 2015-12-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10164029B2 (en) 2015-12-18 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
CN106898597B (zh) * 2015-12-18 2020-10-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106941118A (zh) * 2016-01-05 2017-07-11 联华电子股份有限公司 半导体结构及其制造方法
CN107045999A (zh) * 2016-02-05 2017-08-15 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN107045999B (zh) * 2016-02-05 2023-10-20 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN108074972A (zh) * 2016-11-15 2018-05-25 格芯公司 在主动区上方具有气隙间隔件与栅极接触的基于晶体管的半导体装置
CN108666268A (zh) * 2017-03-27 2018-10-16 格芯公司 形成气隙及在晶体管的主动区上面的栅极接触的方法
CN109427899A (zh) * 2017-08-29 2019-03-05 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN109599337A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TWI711075B (zh) * 2018-07-16 2020-11-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
CN112397589A (zh) * 2019-08-13 2021-02-23 美光科技公司 晶体管及形成晶体管的方法
CN113257823A (zh) * 2020-02-10 2021-08-13 华邦电子股份有限公司 半导体结构以及其形成方法
CN113257823B (zh) * 2020-02-10 2023-09-26 华邦电子股份有限公司 半导体结构以及其形成方法

Also Published As

Publication number Publication date
GB2495606B (en) 2015-12-16
CN103050515B (zh) 2016-04-13
GB201217771D0 (en) 2012-11-14
GB2495606A (en) 2013-04-17
US20130095629A1 (en) 2013-04-18
DE102012217491B4 (de) 2024-05-02
US8637384B2 (en) 2014-01-28
US20130093019A1 (en) 2013-04-18
DE102012217491A1 (de) 2013-04-18
US8637930B2 (en) 2014-01-28

Similar Documents

Publication Publication Date Title
CN103050515A (zh) 晶体管及其制造方法
US10032773B2 (en) FinFET with reduced capacitance
US9269592B2 (en) Method of manufacturing a semiconductor device
KR100748261B1 (ko) 낮은 누설전류를 갖는 fin 전계효과트랜지스터 및 그제조 방법
TWI544529B (zh) 鰭狀場效電晶體裝置與其形成方法
CN101490822B (zh) 半导体器件及其制造方法
US9024355B2 (en) Embedded planar source/drain stressors for a finFET including a plurality of fins
US20140252483A1 (en) Semiconductor device having finfet structures and method of making same
US20130056827A1 (en) Non-planar semiconductor structure and fabrication method thereof
US20050199948A1 (en) Fin field effect transistors with epitaxial extension layers and methods of forming the same
US10170634B2 (en) Wire-last gate-all-around nanowire FET
CN103972236A (zh) 包含鳍式场效电晶体装置的集成电路及其制造方法
US20160260741A1 (en) Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10615081B2 (en) Fin tunneling field effect transistor and manufacturing method thereof
US10199392B2 (en) FinFET device having a partially dielectric isolated fin structure
US9184292B2 (en) Semiconductor structure with different fins of FinFETs
US20150162438A1 (en) Memory device employing an inverted u-shaped floating gate
CN106486372B (zh) 半导体元件及其制作方法
CN103123899B (zh) FinFET器件制造方法
TW202306162A (zh) 電晶體結構
TWI728688B (zh) 具有擴散阻擋間隔件區段之場效電晶體
US8680612B2 (en) Semiconductor device and manufacturing method thereof
CN103123900B (zh) FinFET器件制造方法
US10290738B2 (en) Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device
TWI514576B (zh) 非平面化半導體結構及其製程

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171108

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171108

Address after: American New York

Patentee after: Core USA second LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160413

Termination date: 20191012