TWI564998B - 接觸結構及其形成方法 - Google Patents

接觸結構及其形成方法 Download PDF

Info

Publication number
TWI564998B
TWI564998B TW104121440A TW104121440A TWI564998B TW I564998 B TWI564998 B TW I564998B TW 104121440 A TW104121440 A TW 104121440A TW 104121440 A TW104121440 A TW 104121440A TW I564998 B TWI564998 B TW I564998B
Authority
TW
Taiwan
Prior art keywords
layer
titanium
angstroms
substrate
opening
Prior art date
Application number
TW104121440A
Other languages
English (en)
Other versions
TW201606937A (zh
Inventor
林瑀宏
傅美惠
林聖軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201606937A publication Critical patent/TW201606937A/zh
Application granted granted Critical
Publication of TWI564998B publication Critical patent/TWI564998B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

接觸結構及其形成方法
本發明係有關於一種半導體裝置,特別有關於一種具有接觸結構的半導體裝置及其製造方法。
半導體裝置在各種不同的電子應用中被使用,例如個人電腦、手機、數位相機,以及其他的電子設備。半導體裝置通常藉由在一半導體基底上依序沉積絕緣或介電層、導電層以及半導體層材料,並使用微影製程圖案化不同的材料層以在其上形成電路組件及元件所製成。
一般通常期望半導體裝置在高速下操作。此外,一般通常期望減少半導體裝置的尺寸以增加裝置密度以及使電子裝置的功能增加。這兩種特徵在有時候是互相衝突的。在縮小尺寸時,可能造成一些半導體裝置一較低的速度。因此需要解決方案以同時達到高速以及減少尺寸的目的。
本揭露包括一種接觸結構,包括:一介電層位於一基底上、一黏著層、一金屬矽化物層、一阻障層以及一導電材料。介電層具有一開口至基底的一表面。黏著層沿著開口的側壁設置。金屬矽化物位於基底的表面上。阻障層位於黏著層、金屬矽化物上,以及阻障層直接鄰接金屬矽化物。導電材料位於開口中的阻障層上。
本揭露亦包括一種接觸結構,包括:一介電層形成於一基底上、一鈦層、一含鈦金屬矽化物、一氮化矽層以及一導電材料。一開口穿過該介電層至該基底。鈦層位於開口的介電層側壁上。含鈦金屬矽化物位於該基底上。氮化鈦層位於鈦層以及含鈦金屬矽化物上,鈦層沒有任何部分設置於氮化鈦層的至少一部分與含鈦金屬矽化物的至少一部分之間。導電材料位於開口中的氮化鈦層上。
本揭露亦包括一種接觸結構之形成方法,包括:形成一開口穿過一介電層至一基底,開口的一底表面係一半導體材料的一表面。沿著開口的側壁與半導體材料的表面上形成一黏著層。形成一阻障層於黏著層上。在形成阻障層後,將黏著層與半導體材料進行一反應以形成一金屬矽化物。形成一導電材料於開口中的黏著層。
20‧‧‧基底
22‧‧‧介電層
24‧‧‧開口
26‧‧‧黏著層
261‧‧‧第一部分
262‧‧‧第二部分
28‧‧‧阻障層
30‧‧‧金屬矽化物區域
32‧‧‧導電材料
34‧‧‧接觸
50‧‧‧隔離區域
52‧‧‧閘極介電
54‧‧‧閘極電極
56‧‧‧閘極間隔物
58‧‧‧源極/汲極磊晶區域
60、64‧‧‧蝕刻停止層
62‧‧‧層間介電層
66‧‧‧金屬間介電層
68‧‧‧導孔
70‧‧‧線路
第1-6圖係根據一些實施例的形成一接觸結構的中間階段。
第7圖係根據一些實施例的接觸結構以及接觸結構形成過程的一例示應用。
本說明書的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若 是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。再者,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
另外,在空間上的相關用語,例如“之下”、“以下”、“下方”、“之上”、“上方”等等係用以容易表達出本說明書中的部件或特徵部件與其他部件或特徵部件的關係。這些空間上的相關用語除了涵蓋了圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。裝置可具有不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本揭露根據一些實施例提供一種接觸結構及其形成方法。形成一接觸結構的中間階段被繪示。一些不同的實施例被討論。任何所屬領域中具通常知識者應了解在其他實施例的範疇中可考慮其他的修改方式。雖然在特定的情況中討論方法實施例,在任何合理的情況下可實施其他不同的方法實施例且可包括在此敘述的較少或較多的步驟。
第1-6圖係根據一些實施例的形成一接觸結構的中間階段。第1圖繪示一介電層22位於一基底20上並且一開口24 形成並穿過介電層22至基底20。基底20可為一塊狀半導體基底、一絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底、一多層或梯度基底(gradient substrate),或與其相似的基底,並且可以是摻雜的(例如,以一P型或N型摻雜物)或是未摻雜的。基底20可以是一晶圓,例如一矽晶圓。通常,一絕緣層上覆半導體基底包括一層半導體材料形成於一絕緣層上。絕緣層可為,例如,一埋藏氧化層(buried oxide,BOX)、一氧化矽層或與其相似的膜層。於一基底上提供絕緣層,基底可以是例如一矽或玻璃基底。在一些實施例中,基底20的半導體材料可包括一元素半導體材料,例如矽、鍺或與其相似的材料;一化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;一合金半導體包括矽鍺(SiGe)、鎵砷磷(GaAsP)、鋁銦砷(AlInAs)、鋁鎵砷(AlGaAs)、鎵銦砷(GaInAs)、鎵銦磷(GaInP)和/或鎵銦砷磷(GaInAsP);或其組合。此外,基底20可包括磊晶區域,其可增加穿過基底20中一裝置的一載子遷移率。舉例來說,一電晶體的一源極/極極區可包括一磊晶區域,其為與基底20不同的材料。磊晶區域可以是先前提及的基底20中任何材料。開口24可形成至一磊晶區域。
介電層22可包括一或多層介電層。舉例來說,介電層22可包括一蝕刻停止層於基底20上,以及一層間介電層(Inter-Layer Dielectric,ILD)於蝕刻停止層上。通常,蝕刻停止層在形成開口24時提供一停止蝕刻製程的機制。蝕刻停止層係由具有與相鄰膜層(例如,一蝕刻停止層位於其下的基底20與其上的層間介電層之間)不同的蝕刻選擇比的材料所形成。 在一實施例中,蝕刻停止層可由氮化矽(SiN)、氮碳化矽(SiCN)、氧碳化矽(SiCO)、碳化氮(CN)或其組合,或與其相似的材料所組成,且藉由適合的方法沉積,例如化學氣相沉積(chemical vapor deposition,CVD)製程、電漿輔助化學氣相沈積(plasma-enhanced CVD,PECVD)製程,或與其相似的方法。層間介電層可由一介電材料組成,例如磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸玻璃(Boro-Silicate Glass,BSG)、硼摻雜磷矽酸鹽玻璃(Boro-Doped Phospho-Silicate Glass,BPSG)、未摻雜矽酸鹽玻璃(undoped Silicate Glass,USG)或與其相似的材料,且可藉由適合的方法沉積,例如化學氣相沉積製程、電漿輔助化學氣相沈積製程,或其相似的方法。介電層22可包括額外的膜層於層間介電層上,例如一硬罩幕層、一化學機械研磨(chemical mechanical polish,CMP)停止層,或與其相似的層膜。
開口24係使用可接受的光微影與蝕刻製程而形成並穿過介電層22。蝕刻製程可以是任何可接受的蝕刻製程,例如反應式離子蝕刻製程(reactive ion etching,RIE)、中性射束蝕刻製程(neutral beam etch,NBE)、或與其相似的蝕刻製程或其組合。蝕刻製程可以是非等向性的。蝕刻製程可形成具有實質上垂直側壁的一開口,雖然在一些實施例中也可考慮使用非垂直的側壁。
可實施一清潔製程以去除任何在開口24中基底20露出表面經自然氧化而形成的不需要的氧化物。在一些實施例中,清潔製程使用一氫氟酸成分(HF-based)的氣體或三氟化氮 成分(NF3-based)的氣體和/或氨氣成分(NH3-based)的氣體。在其他實施例中,實施一高溫烘烤。可在存在或不存在氯化氫(HCl)氣體的情形進行高溫烘烤。烘烤溫度可在大約700℃至大約900℃的範圍。烘烤的壓力可在大約10托(Torr)至大約200托的範圍。烘烤時間可在大約30秒至約4分鐘的範圍。
在第2圖中,一黏著層26沿著開口24的側壁形成。在一些實施例中,黏著層26係一金屬層,例如由鈦、鎳、鎢、鈷、一金屬合金,或與其相似的材料所組成。黏著層26可使用一可接受的沉積製程形成,例如物理氣相沉積(physical vapor deposition,PVD)製程、化學氣相沉積製程、原子層沉積製程(atomic layer deposition,ALD),或與其相似的製程。在繪示的實施例中,黏著層26係藉由物理氣相沉積製程而沉積的鈦所組成。黏著層26的一第一部分261形成於基底20的一表面,以及黏著層26的第二部分262形成於開口24的側壁上。由於物理氣相沉積製程的關係,第一部分261的厚度(例如,於正交於基底20的表面的方向)可大於第二部分262的厚度(例如,於正交於介電層22的對應的側壁的方向)。舉例來說,在一些實施例中,形成的第一部分261的厚度大約為50埃(Å)至300埃,而形成的第二部分262的厚度大約為5埃至50埃。
在第3圖中,一阻障層28形成於黏著層26上。在一些實施例中,阻障層28係一金屬氮化物層,例如包括氮化鈦、氮化鉭、其組合或與其相似的材料。阻障層28可使用一可接受的沉積製程形成,例如化學氣相沉積製程、原子層沉積製程或與其相似的製程。阻障層28可順應性沉積而具有實質上均勻的 厚度,雖然實施例中也考慮厚度上的一些變化。舉例來說,在一些實施例中,形成在第一部分261上的阻障層28的厚度大約為15埃至50埃,而形成在第二部分262上的阻障層28的厚度大約為5埃至40埃。應注意的是雖然黏著層26與阻障層28討論如上,每一膜層可單獨或與彼此一起作為一黏著層和/或一阻障層作用。
在第4圖中,一金屬矽化物區域30形成於基底20上。金屬矽化物區域30包括於黏著層26的第一部分261與基底20的材料之間由一反應而形成的一反應後的材料。可使用一退火(anneal)製程形成金屬矽化物區域30。在一些實施例中,一退火製程可包括放置第3圖的結構在約400℃至約900℃溫度的環境下持續約20秒至約180秒。在一些實施例中,金屬矽化物區域30的厚度係從約40埃至約250埃。在一些實施例中,退火製程造成一反應於基底20與黏著層26的第一部分261之間使得一些或整個第一部分261在反應中被消耗。因此,在一些實施例中,阻障層28的底部部分直接鄰接金屬矽化物區域30,而在一些實施例中,黏著層26沒有設置於阻障層28的底部部分與金屬矽化物區域30之間。金屬矽化物區域30可包括黏著層26的材料以及基底20的材料。舉例來說,假設黏著層26係可為鈦而鄰接於黏著層26的基底20(例如,於反應前)可為矽,而金屬矽化物區域30可以是矽化鈦(TiSi)。此外,位於開口24的側壁上的黏著層26的材料(例如,金屬)與金屬矽化物區域30的材料(例如,金屬)相同。
在第5圖中,一導電材料32形成於開口24中。導電 材料32可以是一金屬,例如鎢、銅、鋁、鎳、金、銀、一金屬合金或與其他相似的材料。導電材料32可使用一可接受的沉積製程形成,例如化學氣相沉積製程、物理氣相沉積製程或與其相似的製程。在繪示的實施例中,導電材料32係藉由化學氣相沉積的鎢。如繪示所示,導電材料32填入開口24的留下的部分且形成於介電層22上。
在第6圖中,實施一平坦化製程(例如化學機械研磨製程)以移除導電材料32、阻障層28以及黏著層26的超出部分。平坦化製程形成一接觸34於開口24中,其中介電層22、黏著層26、阻障層28與接觸34共平面。
第7圖繪示第1-6圖討論的接觸結構與接觸結構的形成過程的一例示應用。第7圖繪示一電路組件,例如一場效電晶體(field effect transistor,FET),其中已形成一接觸。電路組件可以是一P型場效電晶體(pFET)或N型場效電晶體(nFET)。在繪示的例子中,電路組件係一平面場效電晶體(planar FET),雖然其他實施例中亦可為三維鰭狀電晶體(finFET)。在其他實施例中則可為其他不同的電路組件。
第7圖中的電路組件包括一基底20、隔離區域50、閘極介電質52、閘極電極54、閘極間隔物56、源極/汲極磊晶區域58、蝕刻停止層60、層間介電層62、金屬矽化物區域30、黏著層26、阻障層28、接觸34、蝕刻停止層64、金屬間介電層(Inter-Metal dielectric,IMD)66、導孔68以及線路70。電路組件可如以下所述而形成。
提供一基底20。基底20可以是如之前在第1圖所討 論的任何基底。隔離區域50接著形成於基底20中。隔離區域50可藉由蝕刻基底20中的凹部和/或溝槽以及用絕緣材料填入凹部或溝槽中而形成。蝕刻可以是任何可接受的蝕刻製程,例如一反應式離子蝕刻、中性射束蝕刻、與其相似的蝕刻製程或其組合。蝕刻製程可以是非等向性的。絕緣材料可以是氧化物(例如,氧化矽)、氮化物、與其相似的材料或其組合,且可以藉由一高密度電將化學氣相蝕刻(high density plasma chemical vapor deposition,HDP-CVD)製程、流動式化學氣相沉積(flowable CVD,FCVD)(例如,於一遙控電漿系統中沉積一以化學氣相沉積為主的材料,並且後固化(post curing)使之轉換成另一材料,例如氧化物)、與其相似的製程或其組合而形成。其他絕緣材料可藉由任何可接受的製程形成。一平坦化製程(例如,化學機械研磨製程)可移除任何超出的絕緣材料且形成共平面的隔離區域50的上表面以及基底20的上表面。隔離區域50可定義基底20中的主動區域,一電路組件將會在其形成。一井區可形成於主動區域中。舉例來說,可進行佈植濃度等於或少於1018cm-3的(例如介於約1017cm-3至1018cm-3)的摻雜物,以形成井區。P型不純物(例如,對於一N型電路組件的一井區),包括硼、二氟化硼(BF2)或與其相似的材料,而N型不純物(例如,對於一P型電路組件的一井區),包括磷、砷或與其相似的材料。可使用一退火製程以活化佈植的不純物。
接著,閘極介電質52與閘極電極54形成於基底20上。一閘極介電質材料層沉積於基底20上。在一些實施例中,閘極介電質材料包括氧化矽、氮化矽、一高介電常數介電材料、 多膜層或與其相似的材料。一高介電常數介電材料可具有大於7.0的介電常數數值,並且可包括一金屬氧化物或鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛的矽酸鹽及其組合。閘極介電材料層的形成方法可包括化學氣相沉積製程、分子束沉積(Molecular-Beam Deposition,MBD)製程、原子層沉積製程、電漿輔助化學氣相沉積製程或與相似的製程。一閘極電極材料層沉積於閘極介電材料層上。閘極電極材料可以是摻雜或未摻雜的多晶矽;一含金屬材料(例如,氮化鈦(TiN)、氮化鉭(TaN)、碳化鉭(TaC)、鈷、釕、鋁或其組合或是多膜層、或其他適合的材料。閘極電極材料層的形成方法可包括化學氣相沉積製程、原子層沉積製程、電漿輔助化學氣相沉積製程或與其相似的製程。一罩幕層可形成於閘極電極材料層上。罩幕層可包括氮化矽、氮化矽碳、氮化碳或與其相似的材料,且可藉由化學氣相沉積製程、電漿輔助化學氣相沉積製程或與其相似的製程而形成。罩幕層、閘極電極材料以及閘極介電材料接著可使用一可接受的光微影製程與蝕刻製程而圖案化以形成一罩幕(未繪示)、閘極電極54及閘極介電質52。蝕刻可以是任何可接受的蝕刻製程,例如反應性離子蝕刻、中性射束蝕刻、與其相似的製程或其組合。蝕刻製程可以是非等向性的。
接著,進行輕摻雜源極/汲極(lightly doped source/drain,LDD)區域的佈植製程。圖案化的罩幕、閘極電極54和/或閘極介電質52可做為佈植製程的自對準罩幕以在基底中形成輕摻雜源極/汲極區域。P型不純物(例如,對於一P型電路組件),包括硼、二氟化硼或與其相似的材料,而N型不純 物(例如,對於一N型電路組件),包括磷、砷或與其相似的材料。輕摻雜源極/汲極區域可具有一不純物濃度在約1015cm-3至約1016cm-3。可使用一退火製程以活化佈植的不純物。
閘極間隔物56接著沿著閘極介電層52與閘極電極54的側壁形成。一間隔物材料層順應性沉積於基底20上。間隔物材料可以是氮化矽、氮碳化矽、其組合或與其相似的材料,並且可藉由化學氣相沉積、電漿輔助化學氣相沉積或與其相似的製程而沉積。非等向性的蝕刻製程可移除間隔物材料層的水平部分,使得間隔物材料層位於閘極電極54與閘極介電質52的側壁上的部分留下作為閘極間隔物56。蝕刻製程可以是任何可接受的蝕刻製程,包括一反應式離子蝕刻製程、中性射束蝕刻製程、與其相似的製程或其組合。
接著,形成源極/汲極磊晶區域58。凹部可形成於基底20中。凹蝕可包括一蝕刻製程,其對於基底20的材料具有選擇性,其中例如閘極間隔物56、閘極電極電極54上的罩幕,以及隔離區域50通常不受蝕刻。因此,凹部可被定義在未被閘極間隔物56與閘極介電質52覆蓋以及隔離區域50外的基底的主動區域中。蝕刻製程可以是任何適合的蝕刻製程,例如乾式或濕式、及等向性或非等向性的蝕刻製程。源極/汲極磊晶區域58磊晶成長於凹部內,例如藉由金屬-有機物化學氣相沉積(metal-oganic CVD,MOCVD)製程、分子束磊晶(Molecular beam epitaxy,MBE)製程、液相磊晶(liquid phase epitaxy,LPE)製程、氣相磊晶(vapor phase epitaxy,VPE)製程、選擇性磊晶成長(selective epitaxy growth,SEG)製程、與其相似的製程或其 組合。源極/汲極磊晶區域58可包括任何可接受的材料。應注意是對於一N型電路組件的例示的材料可包括矽、碳化矽、磷碳化矽(SiCP)、磷化矽(SiP)或與其相似的材料。應注意是對於一P型電路組件的例示的材料可包括矽鍺(SiGe)、矽鍺硼(SiGeB)或與其相似的材料。源極/汲極磊晶區域58可具有自基底20的上表面高起的表面且具有刻面(facets)。
類似於之前討論的源極/汲極區域形成過程,源極/汲極磊晶區域58可以摻雜物佈植以形成輕摻雜源極/汲極區域,接著進行一退火製程。P型不純物(例如,對於一P型電路組件),包括硼、二氟化硼(BF2)或與其相似的材料,而N型不純物(例如,對於一N型電路組件),包括磷、砷或與其相似的材料。源極/汲極區域可具有介於約1019cm-3至約1021cm-3的一不純物濃度。在其他實施例中,源極/汲極磊晶區域58可在成長時進行原位摻雜(in situ doped),或藉由原位摻雜與佈植的摻雜物結合進行摻雜。
如果存在罩幕的話,罩幕位於閘極電極54上,可接著移除閘極間隔物56的上部,藉由例如一非等向性的蝕刻製程。蝕刻製程可以是任何可接受的蝕刻製程,例如一反應式離子蝕刻製程、中性射束蝕刻製程、與其相似的製程或組成。於蝕刻製程後,可形成閘極間隔物56、閘極電極54,以及閘極介電質52,如第7圖所繪示。
蝕刻停止層60順應性形成於基底20、隔離區域、源極/汲極磊晶區域58、閘極間隔物56及閘極電極54上。在一實施例中,蝕刻停止層60可由氮化矽、氮碳化矽、氧碳化矽、 氮化碳、其組合或與其相似的材料所組成,且藉由任何適合的方法沉積,例如化學氣相沉積製程、電漿輔助化學氣相沉積製程或與其相似的製程。層間介電層62形成於蝕刻停止層60上。層間介電層62可由一介電材料組成,例如磷矽酸鹽玻璃、硼矽酸玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃或與其相似的材料,且可藉由適合的方法沉積,例如化學氣相沉積製程、電漿輔助化學氣相沈積製程,或其相似的方法。可平坦化層間介電層62,例如藉由化學機械研磨製程,使之具有平坦的上表面。
接著,接觸結構各自包括黏著層26、阻障層28、金屬矽化物區域30以及接觸34形成並穿過層間介電層62與蝕刻停止層60至對應的源極/汲極磊晶區域58。在其他實施例中,並未形成源極/汲極磊晶區域58,接觸結構形成至基底20。可以如之前在第1-6圖所討論的形成接觸結構。
蝕刻停止層64形成於層間介電層62及接觸結構上。在一實施例中,蝕刻停止層64可由氮化矽、碳氮化矽、碳氧化矽、氮化碳、其組合或與其相似的材料所組成,且藉由任何適合的方法沉積,例如化學氣相沉積製程、電漿輔助化學氣相沉積製程或與其相似的製程。金屬間介電層66形成於蝕刻停止層64上。金屬間介電層66可由一介電材料組成,例如磷矽酸鹽玻璃、硼矽酸玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃或與其相似的材料,且可藉由適合的方法沉積,例如化學氣相沉積製程、電漿輔助化學氣相沈積製程,或其相似的方法。
內連線結構(例如包括一線路70與一導孔68)形成 於金屬間介電層66中。內連線結構可藉由使用適合的光微影技術而形成。通常,可進行一光微影製程與蝕刻製程以移除對應於內連線結構的金屬間介電層66的一部分以定義金屬間介電層66中的凹部和/或開口。蝕刻製程後,以一導電材料(如一或多層的金屬、元素金屬、過渡金屬或與其相似的材料)填入凹部和/或開口。在一些實施例中,用來填入凹部和/或開口的導電材料係藉由電化學電鍍(electro-chemical plating,ECP)沉積的銅。也可使用其他導電材料及製程。
應注意的是內連線結構可包括由一或多層的導電材料形成的阻障/黏著層,例如鈦、氮化鈦、鉭、氮化鉭或與其相似的材料。在一實施例中,阻障/黏著層可包括依序形成的氮化鉭薄層與鉭薄層。氮化鉭及鉭層可藉由例如化學氣相沉積製程、物理氣相沉積製程或與其相似的製程而形成。可進行一平坦化製程,例如化學機械研磨製程,以移除超出的阻障層材料和/或導電材料。形成的內連線結構因此耦接至接觸結構。可形成額外的金屬間介電層及內連線結構。
雖然於第7圖中所討論的電路組件可形成於一製程稱之為前閘極(gate-first)製程,所屬技術領域中具有通常知識者應瞭解到可修改使得在此揭露的型態可應用於一後閘極(gate-last)或取代閘極(replacement gate)製程。在實施例中亦可應用至這些製程。
這些實施例可實現一些優點。第一,可簡化具有金屬矽化物區域之接觸結構的形成程序,因為清除了用於矽化製程中移除未反應的金屬的步驟。此外,可更容易控制金屬矽 化物區域的厚度,因為是由金屬的量來決定金屬矽化物區域的厚度而非由溫度或退火製程的時間。再者,沒有黏著層設置於阻障層與金屬矽化物區域之間,使得電阻可減少。藉由減少電阻,可減少電阻-電容(resistance-capacitance,RC)時間常數,其可甚至在減少尺寸的情形下,得到較快的裝置操作。除之以外,本實施例亦可實現其他的優點。
根據一些實施例,一種接觸結構包括一介電層位於一基底上、一黏著層、一金屬矽化物層、一阻障層以及一導電材料。介電層具有一開口至基底的一表面。黏著層沿著開口的側壁設置。金屬矽化物位於基底的表面上。阻障層位於黏著層、金屬矽化物上,以及阻障層直接鄰接金屬矽化物。導電材料位於開口中的阻障層上。
根據其他的實施例,一種接觸結構包括一介電層形成於一基底上、一鈦層、一含鈦金屬矽化物、一氮化矽層以及一導電材料。一開口穿過該介電層至該基底。鈦層位於開口的介電層側壁上。含鈦金屬矽化物位於該基底上。氮化鈦層位於鈦層以及含鈦金屬矽化物上,鈦層沒有任何部分設置於氮化鈦層的至少一部分與含鈦金屬矽化物的至少一部分之間。導電材料位於開口中的氮化鈦層上。
根據另一實施例,一種接觸結構之形成方法包括形成一開口穿過一介電層至一基底,開口的一底表面係一半導體材料的一表面。沿著開口的側壁與半導體材料的表面上形成一黏著層。形成一阻障層於黏著層上。在形成阻障層後,將黏著層與半導體材料進行一反應以形成一金屬矽化物。形成一導 電材料於開口中的黏著層。
以上概略說明了本揭露數個實施例的特徵部件,使所屬技術領域中具有通常知識者對於後續本揭露的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
20‧‧‧基底
22‧‧‧介電層
26‧‧‧黏著層
28‧‧‧阻障層
30‧‧‧金屬矽化物區域
34‧‧‧接觸

Claims (10)

  1. 一種接觸結構,包括:一介電層位於一基底之一平坦上表面上,該介電層具有一開口至一磊晶層,其中該磊晶層在該基底之該上表面上延伸;一黏著層沿該開口的側壁設置;一金屬矽化物位於該基底上;一阻障層位於該黏著層以及該金屬矽化物上,該阻障層直接鄰接該金屬矽化物;以及一導電材料位於該開口中的該阻障層上。
  2. 如申請專利範圍第1項所述之接觸結構,其中該黏著層為鈦該阻障層為氮化鈦,且該金屬矽化物包括鈦。
  3. 如申請專利範圍第1項所述之接觸結構,其中該黏著層的厚度介於5埃至50埃,該金屬矽化物的厚度介於40埃至250埃,且該阻障層的厚度介於5埃至50埃。
  4. 一種接觸結構,包括:一介電層位於一基底上,一開口穿過該介電層至該基底之一上表面上之一磊晶層;一鈦層位於該開口的介電層側壁上;一含鈦金屬矽化物位於該基底上;一氮化鈦層位於該鈦層以及該含鈦金屬矽化物上,該鈦層沒有任何部分設置於該氮化鈦層的至少一部分與該含鈦金屬矽化物的至少一部分之間;以及一導電材料位於該開口中的該氮化鈦層上。
  5. 如申請專利範圍第4項所述之接觸結構,其中該鈦層的厚度介於5埃至50埃,該含鈦金屬矽化物的厚度介於40埃至250埃,且該氮化鈦層的厚度介於5埃至50埃。
  6. 如申請專利範圍第4項所述之接觸結構,其中該氮化鈦層直接鄰接該含鈦金屬矽化物。
  7. 一種接觸結構之形成方法,包括:形成一開口穿過一介電層至一基底之一上表面上之一磊晶層,該開口的一底表面係一半導體材料的一表面;沿著該開口的側壁與該半導體材料的該表面上形成一黏著層;形成一阻障層於該黏著層上;在形成該阻障層後,將該黏著層與該半導體材料進行一反應以形成一金屬矽化物;以及形成一導電材料於該開口中的該黏著層。
  8. 如申請專利範圍第7項所述之接觸結構之形成方法,其中該反應包括一退火製程。
  9. 如申請專利範圍第7項所述之接觸結構之形成方法,其中在該反應之後,該阻障層直接鄰接該金屬矽化物。
  10. 如申請專利範圍第7項所述之接觸結構之形成方法,其中形成於半導體材料的該表面上的該黏著層的厚度介於50埃至300埃,該金屬矽化物的厚度介於40埃至250埃,該阻障層的厚度介於5埃至50埃,該導電材料為鎢,該黏著層為鈦,該阻障層為氮化鈦,且該金屬矽化物包括鈦。
TW104121440A 2014-08-07 2015-07-02 接觸結構及其形成方法 TWI564998B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462034424P 2014-08-07 2014-08-07
US14/532,886 US9831183B2 (en) 2014-08-07 2014-11-04 Contact structure and method of forming

Publications (2)

Publication Number Publication Date
TW201606937A TW201606937A (zh) 2016-02-16
TWI564998B true TWI564998B (zh) 2017-01-01

Family

ID=55134575

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104121440A TWI564998B (zh) 2014-08-07 2015-07-02 接觸結構及其形成方法

Country Status (5)

Country Link
US (3) US9831183B2 (zh)
KR (4) KR20160018325A (zh)
CN (2) CN112530904A (zh)
DE (1) DE102014019523A1 (zh)
TW (1) TWI564998B (zh)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US10249502B2 (en) * 2016-01-22 2019-04-02 International Business Machines Corporation Low resistance source drain contact formation with trench metastable alloys and laser annealing
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9768062B1 (en) * 2016-06-16 2017-09-19 Samsung Electronics Co., Ltd. Method for forming low parasitic capacitance source and drain contacts
US10153203B2 (en) * 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming metal layers in openings and apparatus for forming same
US10347531B2 (en) * 2017-02-22 2019-07-09 Globalfoundries Inc. Middle of the line (MOL) contact formation method and structure
US10170318B2 (en) 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
TWI657533B (zh) * 2017-06-16 2019-04-21 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US10269624B2 (en) 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
US10361133B2 (en) 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10868181B2 (en) * 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10509334B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and apparatus for removing contamination from lithographic tool
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10964590B2 (en) 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US10504990B2 (en) 2017-11-21 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation features and methods of fabricating the same
US10658362B2 (en) 2017-11-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10332789B2 (en) 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
US10777466B2 (en) 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US10714334B2 (en) 2017-11-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10312089B1 (en) 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10361120B2 (en) 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10515849B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, interconnection structure and method for forming the same
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
US10867833B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
US10804180B2 (en) 2017-11-30 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US11031286B2 (en) 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10535748B2 (en) 2018-03-01 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact with a silicide region
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10804140B2 (en) 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
CN110718454A (zh) * 2018-07-13 2020-01-21 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
JP7283036B2 (ja) * 2018-07-13 2023-05-30 富士電機株式会社 半導体装置および製造方法
US10949597B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Through-silicon vias in integrated circuit packaging
US10672870B2 (en) 2018-07-16 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11031383B2 (en) 2018-08-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11024721B2 (en) 2018-09-20 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854506B2 (en) 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10636702B2 (en) 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
US10937691B2 (en) 2018-09-27 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming an abrasive slurry and methods for chemical-mechanical polishing
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US11024549B2 (en) 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840251B2 (en) 2018-10-25 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method
US10957604B2 (en) * 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11217486B2 (en) 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11107690B2 (en) 2018-11-30 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US20200283896A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Methods for low temperature silicide formation
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
US11101353B2 (en) * 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11069784B2 (en) 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11264284B2 (en) 2019-06-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11348839B2 (en) 2019-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices with multiple silicide regions
US11335817B2 (en) 2019-08-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Composite etch stop layers for sensor devices
US11094788B2 (en) 2019-08-21 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11189727B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contacts and method forming same
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
DE102020114875B4 (de) 2019-08-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtung und verfahren
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11469139B2 (en) 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
US11335774B2 (en) 2019-10-18 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for semiconductor device and method
US11251284B2 (en) 2019-10-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate cutting process and resulting gate structures
US11264270B2 (en) 2019-10-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Air-replaced spacer for self-aligned contact scheme
US11145728B2 (en) 2019-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11488857B2 (en) 2019-10-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11380781B2 (en) 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11227794B2 (en) 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11728223B2 (en) 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11521896B2 (en) * 2019-12-20 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of a protective layer to reduce interconnect structure critical dimensions
US11296187B2 (en) 2019-12-20 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11502166B2 (en) 2019-12-20 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
CN113013142A (zh) 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 集成芯片
US11276571B2 (en) 2019-12-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of breaking through etch stop layer
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102524729B1 (ko) * 2019-12-29 2023-04-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 후면 전력 레일을 갖는 트랜지스터를 위한 구조물 및 방법
US11302577B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11393910B2 (en) 2020-01-21 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and formation method thereof
DE102020119831A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Überzugfreie leitfähige strukturen mit ankerpunkten
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
US11264273B2 (en) 2020-01-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Electron migration control in interconnect structures
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11437287B2 (en) 2020-01-31 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming thereof
US11217586B2 (en) 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US11094702B1 (en) 2020-02-10 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programmable memory device including anti-fuse element and manufacturing method thereof
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11664279B2 (en) 2020-02-19 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple threshold voltage implementation through lanthanum incorporation
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US11335638B2 (en) 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11164789B1 (en) 2020-04-17 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device that includes covering metal gate with multilayer dielectric
US11521929B2 (en) 2020-04-28 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for liner-free conductive structures
US11349004B2 (en) * 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
KR102432494B1 (ko) * 2020-04-28 2022-08-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자에서의 자체 정렬 에칭
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
US11398385B2 (en) 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11349005B2 (en) * 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11450572B2 (en) 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11374089B2 (en) 2020-05-22 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation (STI) contact structures and methods of forming same
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11289383B2 (en) 2020-05-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
US11195752B1 (en) 2020-05-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11799002B2 (en) 2020-05-29 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11888064B2 (en) 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11515165B2 (en) 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11316033B2 (en) 2020-06-12 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US11600728B2 (en) 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
US11430700B2 (en) 2020-06-26 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Trench isolation with conductive structures
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11545546B2 (en) 2020-06-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11848239B2 (en) 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11728244B2 (en) 2020-07-17 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US11728413B2 (en) 2020-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate capping structures in semiconductor devices
US11810960B2 (en) 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11923436B2 (en) 2020-08-07 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11652149B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11563083B2 (en) 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11646377B2 (en) * 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11810857B2 (en) 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11616143B2 (en) 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11862694B2 (en) 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11430701B2 (en) 2020-09-25 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate oxide structures in semiconductor devices
US11348929B2 (en) 2020-09-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11967526B2 (en) 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11581218B2 (en) 2020-09-29 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11728212B2 (en) 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11749732B2 (en) 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11942371B2 (en) 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
US11404548B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11894435B2 (en) 2020-10-15 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug structure of semiconductor device and method of forming same
US11637018B2 (en) 2020-10-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for contact structures of semiconductor devices
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11557511B2 (en) 2021-01-12 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11923357B2 (en) 2021-01-18 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11581411B2 (en) 2021-02-09 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US12002885B2 (en) 2021-02-11 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Gate contact and via structures in semiconductor devices
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11798943B2 (en) * 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11652171B2 (en) 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof
US11670595B2 (en) 2021-02-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11676862B2 (en) 2021-02-26 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11854963B2 (en) 2021-03-03 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnection structure and methods of forming the same
US11626482B2 (en) 2021-03-04 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation with a spin-on dielectric material
US11996324B2 (en) 2021-03-05 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature of a semiconductor device and method of forming same
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20220293422A1 (en) * 2021-03-11 2022-09-15 Changxin Memory Technologies, Inc. Semiconductor structure and preparation method for semiconductor structure
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11670499B2 (en) 2021-03-18 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming conductive feature including cleaning step
US11942479B2 (en) 2021-03-26 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11682675B2 (en) 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11605591B2 (en) 2021-03-30 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11695042B2 (en) 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
US11728218B2 (en) 2021-04-16 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11996327B2 (en) 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US11652152B2 (en) 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11482595B1 (en) 2021-04-23 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11855186B2 (en) 2021-04-28 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11996363B2 (en) 2021-04-28 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure including a heat dissipation layer and methods of forming the same
US11600699B2 (en) 2021-05-05 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure integrating air gaps and methods of forming the same
US11967622B2 (en) 2021-05-05 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Inter block for recessed contacts and methods forming same
US11705371B2 (en) 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US20220359287A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed contacts at line end and methods forming same
US11776895B2 (en) 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11756884B2 (en) 2021-05-06 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US11640940B2 (en) 2021-05-07 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnection structure including conductive graphene layers
US20220367361A1 (en) * 2021-05-07 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US11908702B2 (en) * 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11581416B1 (en) 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11990524B2 (en) 2021-08-30 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US12009259B2 (en) 2021-08-30 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
WO2023043608A1 (en) * 2021-09-14 2023-03-23 Corning Incorporated Methods and apparatus for manufacturing an electronic apparatus
US11973124B2 (en) 2021-11-04 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039391B1 (en) * 2006-03-27 2011-10-18 Spansion Llc Method of forming a contact in a semiconductor device with engineered plasma treatment profile of barrier metal layer

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH1012729A (ja) * 1996-06-27 1998-01-16 Nec Corp 半導体装置の製造方法
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6177338B1 (en) * 1999-02-08 2001-01-23 Taiwan Semiconductor Manufacturing Company Two step barrier process
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
JP2003092271A (ja) * 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
JP2004193499A (ja) 2002-12-13 2004-07-08 Applied Materials Inc 半導体装置、その製造方法、及びその製造装置
US7033931B2 (en) * 2003-08-01 2006-04-25 Agere Systems Inc. Temperature optimization of a physical vapor deposition process to prevent extrusion into openings
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
KR100715267B1 (ko) * 2005-06-09 2007-05-08 삼성전자주식회사 스택형 반도체 장치 및 그 제조 방법
KR20070003058A (ko) 2005-06-30 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US20070210448A1 (en) 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
KR20080004303A (ko) 2006-07-05 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
JP4534164B2 (ja) * 2006-07-25 2010-09-01 エルピーダメモリ株式会社 半導体装置の製造方法
US7525197B2 (en) * 2006-07-31 2009-04-28 Intel Corporation Barrier process/structure for transistor trench contact applications
CN101192560A (zh) * 2006-11-28 2008-06-04 中芯国际集成电路制造(上海)有限公司 接触孔的填充方法
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
KR20090078109A (ko) 2008-01-14 2009-07-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR101378469B1 (ko) * 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
JP2010199349A (ja) * 2009-02-26 2010-09-09 Toshiba Corp 半導体装置の製造方法
JP2011003710A (ja) * 2009-06-18 2011-01-06 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
JP2011100962A (ja) 2009-10-09 2011-05-19 Tokyo Electron Ltd 成膜方法及びプラズマ処理装置
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20130040438A1 (en) * 2011-08-09 2013-02-14 International Business Machines Corporation EPITAXIAL PROCESS WITH SURFACE CLEANING FIRST USING HCl/GeH4/H2SiCl2
US8866195B2 (en) * 2012-07-06 2014-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. III-V compound semiconductor device having metal contacts and method of making the same
US8921226B2 (en) * 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
JP2015228418A (ja) * 2014-05-30 2015-12-17 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039391B1 (en) * 2006-03-27 2011-10-18 Spansion Llc Method of forming a contact in a semiconductor device with engineered plasma treatment profile of barrier metal layer

Also Published As

Publication number Publication date
KR20160018325A (ko) 2016-02-17
US10269713B2 (en) 2019-04-23
KR20160119438A (ko) 2016-10-13
US20180076144A1 (en) 2018-03-15
US9831183B2 (en) 2017-11-28
TW201606937A (zh) 2016-02-16
DE102014019523A1 (de) 2016-02-11
US20160043035A1 (en) 2016-02-11
KR20180007351A (ko) 2018-01-22
CN112530904A (zh) 2021-03-19
CN106158822A (zh) 2016-11-23
KR20180110656A (ko) 2018-10-10
US20190221522A1 (en) 2019-07-18
US10756017B2 (en) 2020-08-25

Similar Documents

Publication Publication Date Title
TWI564998B (zh) 接觸結構及其形成方法
TWI742253B (zh) 半導體裝置及其形成方法
TWI677909B (zh) 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法
TWI579925B (zh) 半導體結構及其製造方法
KR102010665B1 (ko) 반도체 디바이스 및 방법
US11855154B2 (en) Vertical interconnect features and methods of forming
US10340384B2 (en) Method of manufacturing fin field-effect transistor device
TWI722471B (zh) 半導體裝置的形成方法
US11183426B2 (en) Method for forming a FinFET structure that prevents or reduces deformation of adjacent fins
US11227830B2 (en) Conductive features having varying resistance
TW201946148A (zh) 半導體裝置之製造方法
TW201830490A (zh) 半導體裝置之形成方法
TW201712738A (zh) 元件的金屬柵極結構及其形成方法
TW202109925A (zh) 半導體裝置
US20230387328A1 (en) Semiconductor device and method
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
TWI747601B (zh) 閘極電極的形成方法,電晶體及元件
KR20220122553A (ko) 반도체 디바이스의 도전성 피처 및 그 형성 방법
US11810961B2 (en) Transistor gate structures and methods of forming the same
US20230163075A1 (en) Semiconductor Device and Method
US20230260832A1 (en) Semiconductor Devices and Methods
US20230268225A1 (en) Semiconductor device and method of forming the same
CN114551400A (zh) FinFET器件及方法