KR102488490B1 - 가스 분배 플레이트 열을 이용한 온도 램핑 - Google Patents

가스 분배 플레이트 열을 이용한 온도 램핑 Download PDF

Info

Publication number
KR102488490B1
KR102488490B1 KR1020167028197A KR20167028197A KR102488490B1 KR 102488490 B1 KR102488490 B1 KR 102488490B1 KR 1020167028197 A KR1020167028197 A KR 1020167028197A KR 20167028197 A KR20167028197 A KR 20167028197A KR 102488490 B1 KR102488490 B1 KR 102488490B1
Authority
KR
South Korea
Prior art keywords
substrate
etching
temperature
dielectric layer
gas
Prior art date
Application number
KR1020167028197A
Other languages
English (en)
Other versions
KR20160132090A (ko
Inventor
세르게이 지. 벨로스토츠키
친 딘
칭준 저우
스리니바스 디. 네마니
앤드류 응우엔
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160132090A publication Critical patent/KR20160132090A/ko
Application granted granted Critical
Publication of KR102488490B1 publication Critical patent/KR102488490B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Abstract

기판 상에 배치된 유전체 층을 에칭하기 위한 방법이 제공된다. 이 방법은 에칭 처리 챔버 내에서 정전 척으로부터 기판을 디척킹하는 단계; 및 기판이 정전 척으로부터 디척킹되는 동안에 유전체 층을 주기적으로 에칭하는 단계를 포함한다. 주기적으로 에칭하는 단계는 제1 온도에서 기판 상에 배치된 유전체 층을 에칭하기 위해 에칭 처리 챔버 내로 공급되는 에칭 가스 혼합물에서 플라즈마를 원격 발생시키는 단계를 포함한다. 유전체 층을 에칭하는 것은 에칭 부산물들을 발생시킨다. 주기적으로 에칭하는 단계는, 에칭 처리 챔버 내에서 기판을 가스 분배 플레이트를 향하여 수직으로 이동시키는 단계; 및 에칭 부산물들을 승화시키기 위해 승화 가스를 가스 분배 플레이트로부터 기판을 향하여 유동시키는 단계를 또한 포함한다. 승화는 제2 온도에서 수행되고, 제2 온도는 제1 온도보다 높다.

Description

가스 분배 플레이트 열을 이용한 온도 램핑{TEMPERATURE RAMPING USING GAS DISTRIBUTION PLATE HEAT}
본 발명의 실시예들은 일반적으로 플라즈마 처리에서의 온도 램핑(temperature ramping)을 위한 방법들에 관한 것이다. 더 구체적으로는, 본 발명의 실시예들은 일반적으로 온도 램핑을 위해 가스 분배 플레이트로부터의 열을 이용함으로써 유전체 재료를 에칭하기 위한 방법들에 관한 것이다.
집적 회로들은 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능해진다. 기판 상에 패터닝된 재료들을 생성하는 것은 노출된 재료의 제거를 위한 제어된 방법들을 요구한다. 화학적 에칭은, 포토레지스트 내의 패턴을 하부 층들 내로 전사하는 것, 층들을 박형화(thinning)하는 것, 또는 표면 상에 이미 존재하는 피쳐들의 측방향 치수들을 박형화하는 것을 포함하는 다양한 목적을 위해 이용된다. 종종, 화학적 에칭은 원하지 않는 부산물들 또는 잔류물들을 초래하고, 원하지 않는 부산물들 또는 잔류물들은 이 후 기판 표면으로부터 승화되고 제거된다. 승화 온도들은 제거되는 부산물에 종속하여 변하지만, 일부 승화 온도들은 화학적 에칭 자체를 위해 요구되는 온도들보다 높다. 이와 같이, 기판으로부터 부산물들을 승화시키기 위해서, 화학적 에칭이 완료된 후에 기판 온도가 증가되어야 한다.
종래의 기술들은 기판이 위에 클램핑되어 있는 정전 척의 온도를 증가시킴으로써 기판을 가열한다. 그러나, 정전 척에서 이용되는 본딩 재료들의 대부분은 기판 온도가 약 섭씨 1.5도/초 초과의 레이트로 증가(예를 들어, 상향 램핑)되는 것을 불가능하게 한다. 추가적으로, 현재의 정전 척 기술은 약 섭씨 110도 초과의 온도들에서의 안전하고 신뢰가능한 동작을 불가능하게 하여, 섭씨 110도 초과의 온도들에서의 승화를 바람직하지 않게 하는데, 왜냐하면 이러한 승화 프로세스는 정전 척이 정전 척의 설계 한계를 넘어서 동작되는 것을 요구하기 때문이다.
따라서, 온도 램핑을 위한 개선된 방법, 더 구체적으로는, 정전 척 온도를 증가시키는 것에만 의존하지 않는 온도 램핑을 위한 개선된 방법이 필요하다.
유전체 층을 에칭한 후 승화 프로세스가 후속되는 방법들이 본 명세서에 개시되며, 이것은 높은 온도들의 이용을 가능하게 하고, 그에 의해 기판 수율을 증가시킨다.
일 실시예에서, 기판 상에 배치된 유전체 층을 에칭하기 위한 방법은 에칭 처리 챔버 내에서 정전 척으로부터 기판을 디척킹(de-chucking)하는 단계; 및 기판이 정전 척으로부터 디척킹되는 동안에 유전체 층을 주기적으로 에칭하는 단계를 포함한다. 주기적으로 에칭하는 단계는 제1 온도에서 기판 상에 배치된 유전체 층을 에칭하기 위해 에칭 처리 챔버 내로 공급되는 에칭 가스 혼합물로부터 플라즈마를 원격 발생시키는 단계를 포함한다. 유전체 층을 에칭하는 것은 에칭 부산물들을 발생시킬 수 있다. 주기적으로 에칭하는 단계는, 에칭 처리 챔버 내에서 기판을 에칭 위치로부터 가스 분배 플레이트를 향하여 승화 위치까지 수직으로 이동시키는 단계; 및 에칭 부산물들을 승화시키기 위해 승화 가스를 가스 분배 플레이트로부터 기판을 향하여 유동시키는 단계를 또한 포함한다. 승화는 제2 온도에서 수행되고, 제2 온도는 제1 온도보다 높다.
다른 실시예에서, 기판 상에 배치된 유전체 층을 에칭하기 위한 방법은 에칭 처리 챔버 내에서 기판 상에서 트리트먼트 프로세스(treatment process)를 수행하는 단계를 포함하고, 기판은 정전 척 상에 척킹된다. 에칭하기 위한 방법은 정전 척으로부터 기판을 디척킹하는 단계; 및 디척킹된 기판 상의 트리트먼트된 유전체 층(treated dielectric layer)을 에칭하는 단계를 더 포함한다. 디척킹된 기판 상의 트리트먼트된 유전체 층을 에칭하는 단계는, (a) 제1 온도 및 제1 압력 레벨에서 기판 상에 배치된 유전체 층을 에칭하기 위해 에칭 처리 챔버 내로 공급되는 에칭 가스 혼합물로부터 플라즈마를 원격 발생시키는 단계를 포함한다. 트리트먼트된 유전체 층을 에칭하는 것은 에칭 부산물들을 발생시킨다. 디척킹된 기판 상의 트리트먼트된 유전체 층을 에칭하는 단계는, (b) 에칭 처리 챔버 내에서 기판을 가스 분배 플레이트를 향하여 수직으로 이동시키는 단계; 및 (c) 제2 온도 및 제2 압력 레벨에서 에칭 부산물들을 승화시키기 위해 승화 가스를 가스 분배 플레이트로부터 기판을 향하여 유동시키는 단계를 또한 포함한다. 제2 온도는 제1 온도보다 높고, 제2 압력 레벨은 제1 압력 레벨보다 낮으며, (a)-(c)는 주기적으로 수행된다.
다른 실시예에서, 기판 상에 배치된 유전체 층을 에칭하기 위한 방법은 에칭 처리 챔버 내에서 기판 상에서 트리트먼트 프로세스를 수행하는 단계를 포함하고, 기판은 정전 척 상에 척킹된다. 트리트먼트 프로세스는 유전체 층의 표면 속성들을 변경하기 위해 이온 플럭스(ion flux)로 유전체 층에 충격을 가하는 것을 포함한다. 에칭하기 위한 방법은 정전 척으로부터 기판을 디척킹하는 단계; 및 디척킹된 기판 상의 트리트먼트된 유전체 층을 에칭하는 단계를 더 포함한다. 디척킹된 기판 상의 트리트먼트된 유전체 층을 에칭하는 단계는, (a) 제1 온도 및 제1 압력 레벨에서 기판 상에 배치된 유전체 층을 에칭하기 위해 에칭 처리 챔버 내로 공급되는 에칭 가스 혼합물로부터 플라즈마를 원격 발생시키는 단계를 포함한다. 트리트먼트된 유전체 층을 에칭하는 것은 에칭 부산물들을 발생시킨다. 디척킹된 기판 상의 트리트먼트된 유전체 층을 에칭하는 단계는, (b) 에칭 처리 챔버 내에서 기판을 가스 분배 플레이트를 향하여 수직으로 이동시키는 단계; 및 (c) 제2 온도 및 제2 압력 레벨에서 에칭 부산물들을 승화시키기 위해 승화 가스를 가스 분배 플레이트로부터 기판을 향하여 유동시키는 단계를 또한 포함한다. 제2 온도는 제1 온도보다 높고, 제2 압력 레벨은 제1 압력 레벨보다 낮으며, (a)-(c)는 주기적으로 수행된다.
위에서 언급된 본 발명의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 예시하며, 따라서 발명의 범위를 제한하는 것으로 고려되어서는 안 된다는 점에 유의해야 한다.
도 1은 본 발명의 실시예들이 실시될 수 있는 예시적인 처리 챔버의 단면도이다.
도 2는 본 발명의 일 실시예에 따른 프로세스 시퀀스에 대한 흐름도이다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에 이롭게 포함될 수 있다고 고려된다.
그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 예시적인 실시예들만을 예시하며, 따라서 발명의 범위를 제한하는 것으로 고려되어서는 안 된다는 점에 유의해야 한다.
유전체 층을 에칭한 후 승화 프로세스가 후속되는 방법들이 본 명세서에 개시된다. 이러한 방법들은 높은 에칭 온도들의 이용을 가능하게 하고, 그에 의해 기판 수율을 증가시킨다.
도 1은 아래에 더 설명되는 바와 같이 에칭 프로세스를 수행하기에 적합한 예시적인 처리 챔버(100)의 단면도이다. 챔버(100)는 기판(101) 표면 상에 배치된 재료 층으로부터 재료들을 제거하도록 구성된다. 챔버(100)는 플라즈마 보조 선택적 건식 에칭 프로세스(plasma assisted selective dry etch process)를 수행하는 데에 특히 유용하다. 본 발명을 실시하기에 적합한 하나의 처리 챔버(100)는 캘리포니아주 산타 클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 SICONITM 처리 챔버이다. 다른 제조자들로부터 입수가능한 다른 진공 처리 챔버들도 또한 본 발명을 실시하도록 적응될 수 있다는 점에 유의한다.
챔버(100)는 진공을 손상시키지 않고서 기판(101) 표면의 가열 및 냉각 둘 다를 제공한다. 일 실시예에서, 처리 챔버(100)는 챔버 바디(112), 리드 어셈블리(140) 및 지지 어셈블리(180)를 포함한다. 리드 어셈블리(140)는 챔버 바디(112)의 상부 단부에 배치된다. 지지 어셈블리(180)는 챔버 바디(112) 내에 적어도 부분적으로 배치된다.
챔버 바디(112)는 챔버 바디(112)의 측벽에 형성된 슬릿 밸브 개구(114)를 포함한다. 슬릿 밸브 개구(114)는 기판 핸들링 로봇(도시되지 않음)에 의한 챔버 바디(112)의 내부로의 접근을 허용하기 위해 선택적으로 개방 및 폐쇄된다.
하나 이상의 실시예에서, 챔버 바디(112)는 내부에 형성된 채널(115)을 포함하고, 이 채널은 열 전달 유체를 통과시켜 유동시키기 위한 것이다. 열 전달 유체는 가열 유체 또는 냉각제일 수 있고, 처리 동안 챔버 바디(112)의 온도를 제어하기 위해 이용된다. 챔버 바디(112)의 온도의 제어는 챔버 바디(112) 내부에서의 가스 또는 부산물들의 원하지 않는 응축을 방지하는 데에 도움을 준다. 일 실시예에서, 열 전달 유체들은 물, 에틸렌 글리콜, 또는 그들의 혼합물을 포함한다. 다른 실시예에서, 열 전달 유체는 질소 가스를 또한 포함할 수 있다.
챔버 바디(112)는 지지 어셈블리(180)를 둘러싸는 라이너(120)를 더 포함할 수 있다. 라이너(120)는 정비(servicing) 및 세정을 위해 제거가능하다. 라이너(120)는 알루미늄과 같은 금속, 세라믹 재료, 또는 임의의 다른 프로세스 적합 재료(process compatible material)로 이루어질 수 있다. 라이너(120)는 표면 거칠기(surface roughness) 및/또는 표면적을 증가시키기 위해 비드 블라스팅될(bead blasted) 수 있고, 이는 그 위에 퇴적되는 임의의 재료의 부착을 증가시키며, 그에 의해, 챔버(100)의 오염을 초래하는 재료의 플레이킹(flaking)을 방지한다. 하나 이상의 실시예에서, 라이너(120)는 내부에 형성된 펌핑 채널(129) 및 하나 이상의 애퍼쳐(125)를 포함하고, 이 펌핑 채널은 진공 포트(131)와 유체 소통한다. 애퍼쳐들(125)은 펌핑 채널(129) 내로 가스들을 위한 유동 경로를 제공한다. 펌핑 채널(129)은 챔버(100) 내의 가스들에 대한 진공 포트(131)로의 출구를 제공한다.
진공 시스템이 진공 포트(131)에 결합된다. 진공 시스템은 진공 펌프(130) 및 스로틀 밸브(132)를 포함할 수 있다. 스로틀 밸브(132)는 챔버(100)를 통한 가스들의 유동을 조절한다. 진공 펌프(130)는 챔버 바디(112)에 배치된 진공 포트(131)에 결합된다. 진공 펌프(130)는 라이너(120) 내에 형성된 펌핑 채널(129)과 유체 소통한다. "가스" 및 "가스들"이라는 용어들은 달리 언급되지 않는 한 상호교환가능하게 이용되며, 하나 이상의 프리커서, 반응물질, 촉매, 캐리어, 퍼지, 세정, 그들의 조합뿐만 아니라, 챔버 바디(112) 내로 도입되는 임의의 다른 유체를 지칭한다.
리드 어셈블리(140)는 적어도 2개의 적층된 컴포넌트를 포함하고, 이러한 컴포넌트들은 그 사이에 플라즈마 용적 또는 공동을 형성하도록 구성된다. 하나 이상의 실시예에서, 리드 어셈블리(140)는 제2 전극(145)("하부 전극") 위에 수직으로 배치된 제1 전극(143)("상부 전극")을 포함한다. 상부 전극(143)과 하부 전극(145)은 그 사이에 플라즈마 용적 또는 공동(150)을 한정한다. 제1 전극(143)은 RF 전력 공급부와 같은 전원(152)에 접속된다. 제2 전극(145)은 접지에 접속되어, 2개의 전극(143, 145) 사이에 커패시턴스를 형성한다.
하나 이상의 실시예에서, 리드 어셈블리(140)는 하나 이상의 가스 유입구(154)(단 하나만이 도시됨)를 포함한다. 하나 이상의 가스 유입구(154)는 제1 전극(143)의 상부 섹션(156) 내에 적어도 부분적으로 형성된다. 하나 이상의 프로세스 가스는 하나 이상의 가스 유입구(154)를 통해 리드 어셈블리(140)에 진입한다. 하나 이상의 가스 유입구(154)는 가스 유입구(154)의 제1 단부에서 플라즈마 공동(150)과 유체 소통한다. 하나 이상의 가스 유입구(154)는 가스 유입구(154)의 제2 단부에서 하나 이상의 업스트림 가스 소스 및/또는 다른 가스 전달 컴포넌트, 예컨대 가스 혼합기들에 결합된다.
하나 이상의 실시예에서, 제1 전극(143)은 플라즈마 공동(150)의 경계를 정하는 확장 섹션(155)을 갖는다. 하나 이상의 실시예에서, 확장 섹션(155)은 상부 부분(155A)으로부터 하부 부분(155B)으로 가면서 점진적으로 증가하는 내측 표면 또는 직경(157)을 갖는 환형 부재이다. 이와 같이, 제1 전극(143)과 제2 전극(145) 사이의 거리는 확장 섹션(155)에 걸쳐 가변적이다. 변하는 거리는 플라즈마 공동(150) 내에서 발생되는 플라즈마의 형성 및 안정성의 제어를 돕는다.
하나 이상의 실시예에서, 확장 섹션(155)은 역 원뿔대(inverted truncated cone) 또는 "깔때기(funnel)"를 닮는다. 하나 이상의 실시예에서, 확장 섹션(155)의 직경(157)은 확장 섹션(155)의 상부 부분(155A)으로부터 하부 부분(155B)으로 가면서 점진적으로 경사진다. 직경(157)의 경사 또는 각도는 프로세스 요건들 및/또는 프로세스 제한들에 종속하여 변할 수 있다. 확장 섹션(155)의 길이 또는 높이는 특정 프로세스 요건들 및/또는 제한들에 종속하여 또한 변할 수 있다.
위에서 언급된 바와 같이, 제1 전극(143)의 점진적으로 증가하는 직경(157) 때문에, 제1 전극(143)의 확장 섹션(155)은 제1 전극(143)과 제2 전극(145) 사이의 수직 거리를 변화시킨다. 가변적인 거리는 플라즈마 공동(150) 내의 전력 레벨에 직접적으로 영향을 미친다. 이론에 의해 구속될 것을 원하지 않으면서, 2개의 전극(143, 145) 사이의 거리의 변화는, 플라즈마가 자신을 플라즈마 공동(150) 전체는 아니더라도 플라즈마 공동(150)의 일부 부분 내에 유지하는 데에 필요한 전력 레벨을 찾는 것을 허용한다. 그러므로, 플라즈마 공동(150) 내의 플라즈마는 압력에 덜 종속하고, 그에 의해 플라즈마가 더 넓은 동작 윈도우 내에서 발생되고 유지되는 것을 허용한다. 이와 같이, 더 반복가능하고 신뢰가능한 플라즈마가 리드 어셈블리(140) 내에서 형성될 수 있다. 플라즈마 공동(150)에서 발생되는 플라즈마가, 기판(101)이 진행되는 지지 어셈블리(180) 위의 처리 영역(141) 내로 진입하기 이전에 리드 어셈블리(140)에서 정의될 때, 플라즈마가 처리 영역(141)으로부터 원격 발생되기 때문에, 리드 어셈블리(140)는 원격 플라즈마 소스로서 고려된다.
확장 섹션(155)은 위에서 설명된 바와 같이 가스 유입구(154)와 유체 소통한다. 하나 이상의 가스 유입구(154)의 제1 단부는 확장 섹션(155)의 내측 직경(157)의 최상부 지점에서 플라즈마 공동(150) 내로 개방될 수 있다. 유사하게, 하나 이상의 가스 유입구(154)의 제1 단부는 확장 섹션(155)의 직경(157)을 따라 임의의 높이 간격에서 플라즈마 공동(150) 내로 개방될 수 있다. 도시되지는 않았지만, 플라즈마 공동(150) 내에서의 가스들의 혼합을 돕는 선회 유동 패턴(swirling flow pattern) 또는 "와동(vortex)" 유동을 확장 섹션(155) 내로 생성하기 위해 확장 섹션(155)의 반대 측들에 2개의 가스 유입구(154)가 배치될 수 있다.
리드 어셈블리(140)는 제2 전극(145)으로부터 제1 전극(143)을 전기적으로 격리하는 격리체 링(isolator ring)(160)을 또한 포함할 수 있다. 격리체 링(160)은 알루미늄 산화물 또는 임의의 다른 절연성 프로세스 적합 재료로 이루어질 수 있다. 격리체 링(160)은 적어도 확장 섹션(155)을 둘러싸거나 실질적으로 둘러싼다.
리드 어셈블리(140)는 제2 전극(145)에 인접한 블로커 플레이트(175) 및 가스 분배 플레이트(170)를 또한 포함할 수 있다. 제2 전극(145), 가스 분배 플레이트(170) 및 블로커 플레이트(175)는 챔버 바디(112)에 접속되는 리드 림(lid rim)(178) 상에 적층되고 배치될 수 있다. 리드 림(178)을 챔버 바디(112)에 결합하기 위해 힌지 어셈블리(도시되지 않음)가 이용될 수 있다. 리드 림(178)은 열 전달 매체를 순환시키기 위한 매립된 채널 또는 통로(179)를 포함할 수 있다. 열 전달 매체는, 프로세스 요건들에 종속하여, 가열, 냉각 또는 둘 다를 위해 이용될 수 있다.
하나 이상의 실시예에서, 제2 전극 또는 상부 플레이트(145)는 플라즈마 공동(150)으로부터의 가스가 유동하는 것을 허용하기 위해 플라즈마 공동(150) 아래에 형성된 복수의 가스 통로 또는 애퍼쳐(165)를 포함할 수 있다. 가스 분배 플레이트(170)는 실질적으로 디스크 형상이고, 가스들의 유동을 분배하기 위한 복수의 애퍼쳐(172) 또는 통로를 또한 포함한다. 가스 분배 플레이트(170)는 약 섭씨 170도 내지 약 섭씨 190도, 예를 들어 약 섭씨 180도의 온도로 가열될 수 있다. 애퍼쳐들(172)은 처리될 기판(101)이 위치되는 챔버 바디(112)의 처리 영역(141)에 대해 제어되고 고른 유동 분포를 제공하도록 크기가 정해지며 가스 분배 플레이트(170) 주위에 위치될 수 있다. 또한, 애퍼쳐들(172)은, 유동 가스들의 속도 프로파일을 늦추고 재지향시킬 뿐만 아니라 기판(101)의 표면에 걸쳐 가스의 고른 분포를 제공하기 위해 가스의 유동을 고르게 분배함으로써, 가스(들)가 기판(101) 표면 상에 직접 충돌하는 것을 방지한다.
하나 이상의 실시예에서, 가스 분배 플레이트(170)는 리드 어셈블리(140)의 온도 제어를 제공하기 위해 가열기 또는 가열 유체를 하우징하기 위한 하나 이상의 매립된 채널 또는 통로(174)를 포함한다. 가스 분배 플레이트(170)를 가열하기 위해 통로(174) 내에 저항성 가열 요소(도시되지 않음)가 삽입될 수 있다. 열전대(thermocouple)(도시되지 않음)가 가스 분배 플레이트(170)에 접속되어 가스 분배 플레이트의 온도를 조절할 수 있다. 열전대는 위에서 설명된 바와 같이 가열 요소에 인가되는 전기 전류를 제어하기 위해 피드백 루프에서 이용될 수 있다.
대안적으로, 열 전달 매체가 통로(174)를 통과할 수 있다. 하나 이상의 통로(174)는, 필요한 경우, 챔버 바디(112) 내의 프로세스 요건들에 종속하여 가스 분배 플레이트(170)의 온도를 더 잘 제어하기 위해 냉각 매체를 포함할 수 있다. 예를 들어, 질소, 물, 에틸렌 글리콜, 또는 그들의 혼합물과 같은 임의의 적합한 열 전달 매체가 이용될 수 있다.
하나 이상의 실시예에서, 리드 어셈블리(140)는 하나 이상의 가열 램프(도시되지 않음)를 이용하여 가열될 수 있다. 전형적으로, 가스 분배 플레이트(170)를 포함하는 리드 어셈블리(140)의 컴포넌트들을 복사에 의해 가열하기 위해 가열 램프들이 가스 분배 플레이트(170)의 상부 표면 주위에 배열된다.
블로커 플레이트(175)는 가스 분배 플레이트(170)와 제2 전극(145) 사이에 선택적으로 배치될 수 있다. 블로커 플레이트(175)는 제2 전극(145)의 하부 표면에 제거가능하게 탑재된다. 블로커 플레이트(175)는 제2 전극(145)과 양호한 열 및 전기 접촉을 이룰 수 있다. 하나 이상의 실시예에서, 블로커 플레이트(175)는 볼트 또는 유사한 체결구를 이용하여 제2 전극(145)에 결합될 수 있다. 블로커 플레이트(175)는 또한 제2 전극(145)의 외측 직경 상으로 스레드 고정 또는 나사 고정될 수 있다.
블로커 플레이트(175)는 제2 전극(145)으로부터 가스 분배 플레이트(170)로 복수의 가스 통로를 제공하기 위해 복수의 애퍼쳐(176)를 포함한다. 애퍼쳐들(176)은, 가스들의 제어되고 고른 유동 분포를 가스 분배 플레이트(170)에 제공하도록 크기가 정해지며 블로커 플레이트(175) 주위에 위치될 수 있다.
지지 어셈블리(180)는 챔버 바디(112) 내에서의 처리를 위해 기판(101)을 지지하도록 지지 부재(185)를 포함할 수 있다. 지지 부재(185)는 챔버 바디(112)의 바닥 표면에 형성된 슬릿 밸브 개구(114)를 통하여 연장되는 샤프트(187)를 통해 리프트 메커니즘(183)에 결합될 수 있다. 리프트 메커니즘(183)은 샤프트(187) 주위로부터의 진공 누설을 방지하는 벨로우즈(188)에 의해 챔버 바디(112)에 가요성 밀봉될 수 있다. 리프트 메커니즘(183)은 지지 부재(185)가 챔버 바디(112) 내에서 하부 이송 위치와 다수의 상승된 프로세스 위치 사이에서 수직으로 이동되는 것을 허용한다. 일 실시예에서, 이송 위치는 챔버 바디(112)의 측벽에 형성된 슬릿 밸브 개구(114)의 약간 아래에 있고, 그에 의해 기판(101)은 기판 지지 부재(185)로부터 로봇으로(robotically) 제거될 수 있다.
지지 부재(185)는 지지 부재(185)와 리드 어셈블리(140) 사이의 거리가 처리 동안 제어될 수 있도록 챔버 바디(112) 내에서 수직으로 이동될 수 있다. 센서(도시되지 않음)가 챔버(100) 내의 지지 부재(185)의 위치에 관한 정보를 제공할 수 있다.
동작 시에, 지지 부재(185)는 처리되고 있는 기판(101)의 온도를 제어하기 위해 리드 어셈블리(140)에 매우 가깝게 상승될 수 있다. 이와 같이, 기판(101)은 가스 분배 플레이트(170)로부터 방출되는 복사를 통해 가열될 수 있다. 대안적으로, 기판(101)은, 리프트 링(195)에 의해 작동되는 리프트 핀들(193)을 이용하여, 지지 부재(185)로부터 가열된 리드 어셈블리(140)에 매우 가깝게 리프트될 수 있다.
하나 이상의 실시예에서, 지지 부재(185)는 처리될 기판(101)을 위에 지지하기 위해 평평한 원형 표면 또는 실질적으로 평평한 원형 표면을 갖는다. 지지 부재(185)는 알루미늄으로 구성될 수 있다. 지지 부재(185)는, 예를 들어 기판(101)의 후면 오염을 감소시키기 위해, 실리콘 또는 세라믹 재료와 같은 일부 다른 재료로 이루어진 제거가능한 상부 플레이트(190)를 포함할 수 있다.
하나 이상의 실시예에서, 기판(101)은 진공 척을 이용하여 지지 부재(185)에 고정될 수 있다. 하나 이상의 실시예에서, 기판(101)은 정전 척을 이용하여 지지 부재(185)에 고정될 수 있다. 전형적으로, 정전 척은 척킹 전극(103)과 플라즈마 전력 전극(181)을 둘러싸는 유전체 재료를 적어도 포함하며, 이러한 척킹 전극과 플라즈마 전력 전극 둘 다는 지지 부재(185) 상에 위치되거나 또는 지지 부재(185)의 일체형 부분으로서 형성될 수 있다. 정전 척의 유전체 부분은 플라즈마 전력 전극(181) 및 척킹 전극(103)을 기판(101)으로부터 그리고 지지 어셈블리(180)의 나머지로부터 전기적으로 절연한다.
일 실시예에서, 플라즈마 전력 전극(181)은 복수의 RF 전력 바이어스 소스(184, 186)에 결합된다. RF 바이어스 전원들(184, 186)은 플라즈마 전력 전극(181)에 RF 전력을 제공한다. 플라즈마 전력 전극(181)이 여기되어, 챔버 바디(112)의 처리 영역(141)에 배치된 가스들로부터 형성되는 플라즈마 방전을 유지한다.
도 1에 도시된 실시예에서, 이중 RF 바이어스 전원(184, 186)은 정합 회로(189)를 통해 지지 부재(185)에 배치된 플라즈마 전력 전극(181)에 결합된다. RF 바이어스 전원들(184, 186)에 의해 발생되는 신호는 챔버(100)에 제공되는 가스 혼합물을 이온화하기 위해 단일 피드(single feed)를 통해 정합 회로(189)를 지나 지지 부재(185)에 전달된다. 가스 혼합물의 이온화는 퇴적, 에칭 또는 다른 플라즈마 강화 프로세스를 수행하는 데에 필요한 이온 에너지를 제공한다. 일반적으로, RF 바이어스 전원들(184, 186)은, 약 50kHz 내지 약 200MHz의 주파수 및 약 0와트 내지 약 5000와트의 전력을 갖는 RF 신호를 생성할 수 있다. 필요에 따라 플라즈마의 특성들을 제어하기 위해 추가의 바이어스 전원들이 플라즈마 전력 전극(181)에 결합될 수 있다.
일 실시예에서, 척킹 전극(103)은 RF 전원(105)에 결합된다. RF 전원(105)은 RF 전력을 척킹 전극(103)에 제공한다. 척킹 전극(103)은 정전 척과 기판(101) 사이에 정전 유지력(electrostatic holding force)을 발생시킨다. 일반적으로, RF 전원(105)은, 약 50kHz 내지 약 200MHz, 예를 들어 약 2MHz 또는 약 60MHz의 주파수 및 약 0와트 내지 약 5000와트의 전력을 갖는 RF 신호를 생성할 수 있다.
지지 부재(185)는 리프트 핀들(193)을 수용하기 위해 지지 부재를 통하여 형성된 구멍들(192)을 포함할 수 있고, 그 중 하나가 도 1에 도시되어 있다. 각각의 리프트 핀(193)은 세라믹 또는 세라믹 함유 재료로 구성되고, 기판 핸들링 및 이송을 위해 이용된다. 리프트 핀(193)은 챔버 바디(112) 내에 배치된 환형 리프트 링(195)에 맞물릴 때 각각의 구멍(192) 내에서 이동가능하다. 리프트 링(195)은, 리프트 링(195)이 상부 위치에 있을 때 리프트 핀(193)의 상부 표면이 지지 부재(185)의 기판 지지 표면 위로 연장될 수 있도록 이동가능하다. 반대로, 리프트 링(195)이 하부 위치에 있을 때, 리프트 핀들(193)의 상부 표면은 지지 부재(185)의 기판 지지 표면 아래에 위치된다. 따라서, 리프트 링(195)이 하부 위치와 상부 위치 사이에서 이동할 때, 각각의 리프트 핀(193)은 지지 부재(185) 내의 각각의 구멍(192) 내에서 이동된다.
지지 어셈블리(180)는 지지 부재(185) 주위에 배치된 에지 링(196)을 더 포함할 수 있다. 하나 이상의 실시예에서, 에지 링(196)은, 지지 부재(185)의 외측 둘레를 커버하고 지지 부재(185)를 퇴적으로부터 보호하도록 적응되는 환형 부재이다. 에지 링(196)은 지지 부재(185)의 외측 직경과 에지 링(196)의 내측 직경 사이에 환형 퍼지 가스 채널을 형성하도록 지지 부재(185) 상에 또는 지지 부재에 인접하여 위치될 수 있다. 환형 퍼지 가스 채널은 지지 부재(185)와 샤프트(187)를 통해 형성된 퍼지 가스 도관(197)과 유체 소통할 수 있다. 퍼지 가스 채널에 퍼지 가스를 제공하기 위해 퍼지 가스 도관(197)은 퍼지 가스 공급부(도시되지 않음)와 유체 소통한다. 질소, 아르곤 또는 헬륨과 같은 임의의 적합한 퍼지 가스가 단독으로 또는 조합하여 이용될 수 있다. 동작 시에, 퍼지 가스는 퍼지 가스 도관(197)을 통해, 퍼지 가스 채널 내로, 그리고 지지 부재(185) 상에 배치된 기판(101)의 에지 주위로 유동된다. 따라서, 에지 링(196)과 협동하여 작용하는 퍼지 가스는 기판(101)의 에지 및/또는 후면에서의 퇴적을 방지한다.
지지 어셈블리(180)의 온도는 지지 부재(185)의 바디에 매립된 유체 채널(198)을 통해 순환되는 유체에 의해 제어될 수 있다. 하나 이상의 실시예에서, 유체 채널(198)은 지지 어셈블리(180)의 샤프트(187)를 통해 배치된 열 전달 도관(199)과 유체 소통한다. 유체 채널(198)은 지지 부재(185)의 기판 수취 표면에 대해 균일한 열 전달을 제공하기 위해 지지 부재(185) 주위에 위치된다. 유체 채널(198) 및 열 전달 도관(199)은 지지 부재(185) 및 지지 부재 위에 배치된 기판(101)을 가열 또는 냉각하기 위해 열 전달 유체들을 유동시킬 수 있다. 물, 질소, 에틸렌 글리콜, 또는 그들의 혼합물과 같은 임의의 적합한 열 전달 유체가 이용될 수 있다. 지지 부재(185)는 지지 부재 위에 배치된 기판(101)의 온도를 나타내는, 지지 부재(185)의 지지 표면의 온도를 모니터링하기 위해 매립된 열전대(도시되지 않음)를 더 포함할 수 있다. 예를 들어, 열전대로부터의 신호는 유체 채널(198)을 통해 순환되는 유체의 온도 또는 유량을 제어하기 위해 피드백 루프에서 이용될 수 있다.
시스템 제어기(도시되지 않음)가 처리 챔버(100)의 동작들을 조절하기 위해 이용될 수 있다. 시스템 제어기는 컴퓨터의 메모리 상에 저장된 컴퓨터 프로그램의 제어 하에 동작할 수 있다. 컴퓨터 프로그램은 아래에 설명되는 프로세스가 처리 챔버(100)에서 수행되는 것을 가능하게 하는 명령어들을 포함할 수 있다. 예를 들어, 컴퓨터 프로그램은 프로세스 시퀀싱 및 타이밍, 가스들의 혼합물, 챔버 압력들, RF 전력 레벨들, 서셉터 포지셔닝, 슬릿 밸브 개방 및 폐쇄, 기판 냉각, 및 특정 프로세스의 다른 파라미터들을 지시할 수 있다.
도 2는 에칭 프로세스를 수행하기 위해 이용되는 프로세스 시퀀스(200)를 예시한다. 일 실시예에서, 프로세스 시퀀스(200)는, 높은 에칭 선택성으로, 기판(101) 상에 배치된 유전체 재료, 예를 들어 로우-k 재료를 에칭하기 위해 이용된다. 본 명세서에서 이용되는 경우, 로우-k 재료는 3.9보다 작은, 즉 실리콘 이산화물의 유전 상수보다 작은 유전 상수를 갖는 임의의 재료를 지칭한다. 프로세스 시퀀스(200)는 도 1에 도시된 챔버(100)에 대응하지만, 프로세스 시퀀스(200)는 임의의 진공 처리 챔버에 대응할 수 있다고 고려된다.
프로세스 시퀀스(200)는 기판(101)을 챔버(100) 내로 이송함으로써 블록(202)에서 시작된다. 일 실시예에서, 기판(101)은, 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 단결정질 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼 및 패터닝된 또는 패터닝되지 않은 웨이퍼, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비화물, 유리 또는 사파이어와 같은 재료일 수 있다.
기판(101) 상에 배치된 유전체 재료는 비아 또는 트렌치 에칭을 위해 마스킹되고, 노출 및 비노출 표면을 갖는다. 일 실시예에서, 유전체 재료는 탄소 함유 실리콘 층(SiC), 질소 도핑된 탄소 함유 실리콘 층(SiCN) 등이다. 다른 실시예에서, 유전체 재료는, 불소 도핑된 실리콘 이산화물, 탄소 도핑된 이산화물, 다공성 실리콘 이산화물, 다공성 탄소 도핑된 실리콘 이산화물, 스핀-온 실리콘계 폴리머 유전체, 또는 스핀-온 유기 폴리머 유전체와 같지만 이에 제한되지는 않는 로우-k 재료이다. 일 실시예에서, 유전체 재료는 약 2.7보다 작은 벌크 유전 상수를 갖는 다공성 SiCOH인 로우-k 재료이다.
블록(204)에서, 유전체 재료의 표면 속성들을 변경하고, 후속하는 화학적 에칭 프로세스에서 유전체 재료의 제거를 용이하게 하기 위해, 트리트먼트 프로세스가 수행된다. 일 실시예에서, 트리트먼트 프로세스는 유전체 재료의 표면 속성들을 변경하기 위해 이온 플럭스로 유전체 재료에 충격을 가하는 것을 포함한다. 트리트먼트 프로세스는, 노출된 유전체 재료 하부에 있는 영역들이 이온 플럭스에 노출되지 않도록 이방성 이온-유도 트리트먼트(anisotropic ion-induced treatment)이다. 이온 플럭스는 하나 이상의 유형의 원자 또는 분자 종으로 이루어질 수 있다. 그러면, 트리트먼트된 유전체 재료는 블록(206)에서 처리 챔버(100) 내로 후속하여 공급되는 화학적 에칭 가스들과 쉽게 반응하여 에칭 부산물들을 형성할 수 있고, 이러한 에칭 부산물들은 나중에 승화되어 처리 챔버(100) 밖으로 펌핑된다.
블록(204)에서 수행되는 트리트먼트 프로세스는 트리트먼트 가스 혼합물을 챔버(100) 내로 공급하는 것을 포함한다. 일 실시예에서, 트리트먼트 가스 혼합물은 헬륨, 네온, 크세논, 질소, 아르곤 또는 그들의 조합을 포함할 수 있다. 다음으로, 유전체 재료의 노출된 표면들을 플라즈마 트리트먼트하기 위해 트리트먼트 가스 혼합물로부터 플라즈마가 형성된다. 트리트먼트 프로세스는 헬륨 이온들, 네온 이온들, 크세논 이온들, 질소 이온들, 또는 아르곤 이온들, 예를 들어 Ar+를 형성하기 위해 플라즈마에서의 이온 종들을 활성화한다. 일 실시예에서, 이온 종들은 이온 플럭스의 에너지 레벨들을 감소시키기 위해 낮은 이온화 전위, 예를 들어 약 2-4eV를 갖는다. 예를 들어, 일 실시예에서, 이온 플럭스 에너지를 더 튜닝하기 위해 네온 및 헬륨이 Ar+의 아르곤 이온들에 첨가될 수 있다. 유전체 재료가 다공성 SiCOH인 로우-k 재료인 실시예들에서, 이온 종들은 실리콘 산화물 매트릭스(silicon-oxide matrix)로부터 탄소 종들을 없앤다(knock off).
이온-유도 트리트먼트 프로세스 동안, 트리트먼트 프로세스를 제어하기 위해 수개의 프로세스 파라미터가 조절될 수 있다. 일 실시예에서, 기판(101)이 지지 부재(185)에 척킹된다. 이온 종들의 방향성을 제어하기 위해 처리 챔버(100) 내의 프로세스 압력은 약 10mTorr 아래로, 예를 들어 약 5mTorr 아래로, 예를 들어 약 1.5mTorr 아래로 조절된다. 처리 챔버(100) 내부에 플라즈마를 유지하기 위해 약 50와트 내지 약 100와트의 RF 전력이 리드 어셈블리(140)에 인가될 수 있다. 기판(101) 온도는 약 섭씨 65도 내지 약 섭씨 95도, 예를 들어 약 섭씨 70도 내지 약 섭씨 90도이다. 온도 범위가 설명되었지만, 이온-유도 트리트먼트 프로세스는 온도 민감 프로세스(temperature-sensitive process)가 아니라는 점에 유의한다.
블록(204)에서의 이온-유도 트리트먼트 프로세스의 끝에서, 그리고 블록(206)에서의 후속하는 화학적 에칭 프로세스 이전에, 기판(101)은 기판 지지 부재(185)의 정전 척으로부터 디척킹된다. 본 명세서에서 이용되는 경우, "디척킹"은 기판(101)을 지지 부재(185)로부터 리프트하지 않고서 기판(101)에 인가되는 정전력을 감소시키거나 턴 오프하는 것을 지칭한다. 예를 들어, 기판(101)과 정전 척 사이의 정전력을 감소시키거나 방지하기 위해 척킹 전극(103)에 공급되는 전력이 감소되거나 턴 오프될 수 있다. 추가적으로, 후면 가스(backside gas), 예컨대 헬륨(He)이 턴 오프된다. 기판(101)을 디척킹하는 것은 리드 어셈블리(140)와 기판(101) 사이의 열 전달을 유리하게 증가시키는데, 왜냐하면 기판(101)이 디척킹될 때, 기판(101)과 정전 척 사이의 열 전달이 감소하기 때문이다. 디척킹은 이롭게도 정전 척이 기판(101)으로부터 방열(sink heat)하는 능력을 억제한다. 그에 의해, 기판(101)으로부터 방열하는 것은, 예를 들어 유체 채널(198)에 공급되는 냉각 유체를 증가시키는 것에 의해, 정전 척을 냉각시키는 것보다 더 빠르게 기판(101) 온도가 상승하게 한다. 그러나, 기판(101)이 디척킹될 때, 정전 척으로부터 가열 요소로의 전력은 여전히 기판(101)에 열을 공급하고 있을 수 있다는 점에 유의한다.
블록(206)에서, 기판(101) 상에 배치된 이온-트리트먼트된 유전체 재료를 선택적으로 에칭하기 위해, 원하는 온도에 도달한 기판(101) 상에서 원격 플라즈마 에칭 프로세스가 수행된다. 원격 플라즈마 에칭 프로세스는 기판(101) 상의 트리트먼트된 유전체 재료를 제거하기 위해 수행되는 화학적 프로세스이다. 수정된 유전체 재료를 에칭하기 위해 처리 가스를 유동시키기 이전에, 처리 가스 혼합물로부터 플라즈마 공동(150) 내에 원격 플라즈마를 형성하기 위해, 에칭 가스 혼합물을 처리 챔버(100) 내의 플라즈마 공동(150) 내로 공급함으로써 원격 플라즈마 화학적 에칭 프로세스가 수행된다.
일 실시예에서, 트리트먼트된 유전체 재료를 제거하기 위해 이용되는 에칭 가스 혼합물은 암모니아(NH3) 가스와 삼불화 질소(nitrogen trifluoride)(NF3) 가스의 혼합물이다. 처리 챔버 내로 도입되는 각각의 가스의 양은, 예를 들어 제거될 트리트먼트된 유전체 재료의 두께, 처리되는 기판(101)의 기하형상, 플라즈마 공동의 용적 용량, 챔버 바디의 용적 용량뿐만 아니라, 챔버 바디에 결합된 진공 시스템의 능력들을 수용하도록 변하고 조정될 수 있다.
플라즈마가 플라즈마 공동(150)에서 원격으로 발생될 때, 하부 재료가 노출될 때까지 트리트먼트된 유전체 재료를 점진적으로 화학 반응시키기 위해, 원격 소스 플라즈마로부터의 에칭 가스 혼합물로부터 해리된 에천트들은 비교적 저자극(mild)이고 온화(gentle)하다. 일 실시예에서, 암모니아(NH3) 가스 및 삼불화 질소(NF3) 가스는 원격 플라즈마 공동(150)에서 해리되어, 불화 암모늄(ammonium fluoride)(NH4F) 및/또는 HF를 갖는 불화 암모늄(ammonium fluoride with HF)(NH4F.HF)을 형성한다. 불화 암모늄 및 HF를 갖는 불화 암모늄의 에천트들이 처리 챔버(100)의 처리 영역(141) 내로 도입되어 기판(101) 표면에 도달할 때, 불화 암모늄(NH4F) 및 HF를 갖는 불화 암모늄(NH4F.HF)은 유전체 재료와 반응하여 고체 상태 부산물인 (NH4)2SiF6을 형성할 수 있다. 이 부산물은 나중에 고온 승화 프로세스를 이용함으로써 기판(101) 표면으로부터 제거될 것이고, 이는 블록(208)에서 더 상세하게 논의될 것이다.
하나 이상의 실시예에서, 암모니아(NH3) 대 삼불화 질소(NF3)의 적어도 1:1 몰비를 갖는 에칭 가스 혼합물을 제공하도록 가스들이 첨가되었다. 하나 이상의 실시예에서, 에칭 가스 혼합물의 몰비는 적어도 약 3:1(암모니아 대 삼불화 질소)이다. 가스들은 약 5:1(암모니아 대 삼불화 질소) 내지 약 30:1의 몰비로 챔버(100)에 도입된다. 또 다른 실시예에서, 에칭 가스 혼합물의 몰비는 약 5:1(암모니아 대 삼불화 질소) 내지 약 10:1이다. 에칭 가스 혼합물의 몰비는 또한 약 10:1(암모니아 대 삼불화 질소)과 약 20:1 사이에 있을 수 있다.
일 실시예에서, 에칭 가스 혼합물을 진공 처리 챔버(100)의 처리 영역(141) 내로 운반하는 것을 돕기 위해, 불활성 가스 또는 캐리어 가스와 같은 다른 유형들의 가스가 또한 에칭 가스 혼합물에 공급될 수 있다. 불활성 가스 또는 캐리어 가스의 적합한 예들은 Ar, He, N2, O2, N2O, NO2, NO 등 중 적어도 하나를 포함한다. 일 실시예에서, 진공 처리 챔버(100) 내로 공급될 수 있는 불활성 또는 캐리어 가스는 약 200sccm 내지 약 1500sccm의 용적 유량의 Ar 또는 He이다.
원격 플라즈마 소스 에칭 프로세스를 수행하기 위해 에칭 가스 혼합물을 공급하는 동안, 기판(101) 온도는 약 섭씨 65도 내지 약 섭씨 95도, 예를 들어 약 섭씨 70도 내지 약 섭씨 90도로 유지될 수 있다. 기판(101) 온도를 상술한 범위들로 유지하는 것은 에칭 프로세스의 에칭 레이트의 증가에 도움을 줄 수 있다고 생각된다. 과도하게 높은 온도들은 에칭을 위해 필요한 에천트들인 불화 암모늄(NH4F) 및/또는 HF를 갖는 불화 암모늄(NH4F.HF)을 형성하기 위한 암모니아(NH3)와 삼불화 질소(NF3) 사이의 화학적 반응들을 억제할 것으로 생각된다. 그러므로, 약 섭씨 65도 내지 약 섭씨 95도, 예를 들어 약 섭씨 70도 내지 약 섭씨 90도의 범위로 기판(101) 온도를 제어하면, 에칭 프로세스 동안 에칭 레이트가 바람직하게 증대되며, 그에 의해 전체 에칭 프로세스 수율을 증가시킬 수 있다.
에칭 가스 혼합물이 처리 챔버 내로 공급되고 기판(101)에 노출된 이후에, 다음으로, 트리트먼트된 유전체 재료가 에칭되어, 기판(101) 표면 상에 암모늄 플루오로실리케이트((NH4)2SiF6)와 같은 고체 에칭 부산물을 형성할 수 있다. 에칭 부산물은 승화 프로세스에 의해 기판(101)으로부터 제거될 것이고, 이는 아래에 블록(208)에서 더 논의될 것이다. 에칭 프로세스는, 기판(101) 상에 배치되어 있는 트리트먼트된 유전체 재료가 반응되어 에칭 부산물로 변환될 때까지 계속하여 수행될 수 있다.
에칭 프로세스 동안, 에칭 프로세스를 제어하기 위해 수개의 프로세스 파라미터가 조절될 수 있다. 일 실시예에서, 챔버 압력은 블록(204)의 이온-유도 트리트먼트 프로세스에서의 이전 압력 레벨들로부터 약 1Torr 초과로, 예를 들어 약 1.5Torr 초과로 증가될 수 있다. 높은 압력들, 예를 들어 약 1.5Torr 초과의 압력들에서, 리드 어셈블리(140)의 가스 분배 플레이트(170)로부터 기판(101)으로의 열 전달이 증가한다. 그러므로, 기판(101)이 디척킹되더라도, 즉 정전력이 인가되지 않고 후면 가스가 유동하고 있지 않더라도, 에칭 프로세스 동안, 높은 챔버 압력은 기판(101)을 원하는 온도로 유지하기 위해 기판(101)과 지지 부재(185)의 정전 척 사이의 강한 열 결합(thermocoupling)을 허용한다.
화학적 에칭 가스 혼합물에서 플라즈마를 유지하기 위해 약 80KHz의 주파수에서의 RF 소스 전력이 인가될 수 있다. 예를 들어, 약 20와트 내지 약 70와트의 RF 소스 전력이 에칭 가스 혼합물에 인가될 수 있다. 본 명세서에서 지칭되는 RF 소스 전력은 전원(152)으로부터 전극들(143, 145)로 공급되는 RF 전력일 수 있다. 일 실시예에서, RF 소스 전력은 약 80KHz의 주파수를 가질 수 있다. 에칭 가스 혼합물은 약 400sccm 내지 약 2000sccm의 레이트로 챔버 내로 유입될 수 있다. 일 실시예에서, 에칭 프로세스는 약 60초 내지 약 2000초 동안 수행될 수 있다. 그러나, 위에서 언급된 프로세스 파라미터들은 처리 챔버(100)의 크기에 종속하여 변할 수 있다는 점에 유의한다. 일 실시예에서, 에칭 프로세스 이전에, 가스 분배 플레이트(170)는 약 섭씨 170도 초과의 온도, 예를 들어 약 섭씨 180도 초과의 온도로 예비가열되었다.
블록(208)에서, 에칭 프로세스가 완료되고, 트리트먼트된 유전체 재료가 실질적으로 반응되어 에칭 부산물로 변환된 이후에, 에칭 부산물을 제거하기 위해 승화 프로세스가 수행된다. 승화를 수행하기 이전에, 지지 부재(185)는 가스 분배 플레이트(170)를 향하여 수직으로 상향 이동된다. 위에서 논의된 바와 같이, 일 실시예에서, 에칭 프로세스 이전에, 가스 분배 플레이트(170)는 약 섭씨 170도 초과의 온도, 예를 들어 약 섭씨 180도 초과의 온도로 예비가열되었다. 그러므로, 에칭 프로세스 동안, 가스 분배 플레이트(170)는 원하는 온도로 이미 가열되어 있다. 일 실시예에서, 기판(101)은 가열된 가스 분배 플레이트(170)로부터 약 0.1 인치 내지 약 0.5 인치, 예를 들어 약 0.25 인치 내지 약 0.3 인치 떨어져 있다. 유리하게는, 트리트먼트 또는 에칭 프로세스 동안과 비교할 때, 가스 분배 플레이트(170)가 기판(101)에 매우 근접해 있는 것은 기판(101)에 대한 더 빠른 열 전달을 허용한다.
일 실시예에서, 승화 압력 레벨은 약 100mTorr 내지 약 900mTorr, 예를 들어 약 200mTorr, 약 500mTorr 또는 약 800mTorr이다. 승화 압력 레벨들의 상세는 아래에 더 논의될 것이다. 다른 실시예에서, 처리 챔버(100) 내의 압력은 원격 플라즈마 에칭 압력 레벨로부터 약 100mTorr 내지 약 900mTorr, 예를 들어 약 200mTorr, 약 500mTorr 또는 약 800mTorr의 승화 압력 레벨로 감소된다.
승화 프로세스는 처리 챔버(100) 밖으로 펌핑될 수 있는 휘발성 상태로 에칭 부산물을 승화시킨다. 블록(208)에서의 승화 프로세스는 기판(101)으로부터 에칭 부산물을 제거하고, 블록(206)에서의 원격 플라즈마 에칭 프로세스가 수행되는 것과 동일한 챔버 내에서, 예컨대 위에서 설명된 바와 같은 처리 챔버(100) 내에서, 즉 인-시튜 방식으로 수행된다.
승화 프로세스는 에칭 부산물을 승화시키기 위해 높은 온도에서 승화 가스를 챔버(100) 내로 공급함으로써 수행된다. 일 실시예에서, 승화 가스는, 예를 들어 헬륨 가스, 아르곤 가스, 또는 질소 가스를 포함하지만 이에 제한되지는 않는 불활성 가스를 포함한다. 예를 들어, 승화 가스는 약 600sccm으로 공급되는 헬륨 가스일 수 있다. 승화 온도는 특정 에칭 부산물에 기초하여 선택된다. 일 실시예에서, 에칭 부산물, 예를 들어 (NH4)2SiF6은 약 섭씨 110도 초과, 예를 들어 약 섭씨 120도 초과 또는 약 섭씨 150도 초과의 승화 온도를 요구한다. 다른 실시예에서, 승화 온도는 에칭 프로세스 온도 초과, 예컨대 섭씨 110도 초과, 예를 들어 약 섭씨 120도 초과 또는 약 섭씨 150도 초과이다. 일 실시예에서, 블록들(206 및 208)은 원하는 결과들이 달성될 때까지 주기적으로 수행된다.
위에서 논의된 바와 같이, 챔버 압력 레벨이 증가함에 따라, 가스 분배 플레이트(170)로부터 기판(101)으로의 열 전달이 증가하고, 그 반대도 마찬가지이며; 챔버 압력 레벨이 감소함에 따라, 가스 분배 플레이트(170)로부터 기판(101)으로의 열 전달이 감소한다. 유사하게, 챔버 압력 레벨이 증가함에 따라, 기판(101)으로부터 정전 척으로의 열 전달이 증가하고, 그 반대도 마찬가지이며; 챔버 압력 레벨이 감소함에 따라, 기판(101)으로부터 정전 척으로의 열 전달이 감소한다. 승화 프로세스를 위해 기판(101) 온도를 증가시키는 것이 바람직하므로, 가스 분배 플레이트로부터 기판(101)으로의 열 전달이 최적이고 기판(101)으로부터 정전 척으로의 열 전달이 최소이도록, 높은 압력 레벨과 낮은 압력 레벨 사이의 최적의 압력 범위가 요구된다.
그러므로, 약 200mTorr, 약 500mTorr 또는 약 800mTorr에서, 가스 분배 플레이트(170)는 디척킹된 기판(101)의 온도를 이전 에칭 온도 범위들로부터, 에칭 부산물을 승화시키기 위해 요구된 바와 같은 약 섭씨 110도 초과로 신속하게 증가시킨다. 예를 들어, 약 200mTorr에서, 가스 분배 플레이트(170)로부터 약 0.3 인치에 배치되는 디척킹된 기판(101)이 약 섭씨 110도에 도달하는 데에 약 40초가 경과된다. 약 500mTorr 및 약 800mTorr에서, 가스 분배 플레이트(170)로부터 약 0.3 인치에 배치되는 디척킹된 기판(101)이 약 섭씨 110도에 도달하는 데에 약 20초가 경과된다.
약 15초 내지 약 65초, 예를 들어 약 20초 내지 약 30초 또는 약 30초 내지 약 60초 동안 약 섭씨 110도 초과에서 승화시키는 것은 유리하게도 종래의 승화 기술들(약 60초 내지 약 120초를 요구함)보다 신속하게 에칭 부산물들을 승화시킨다. 추가적으로, 기판이 지지 부재(185)의 정전 척으로부터 디척킹되기 때문에, 정전 척은 기판(101)에 의해 효율적으로 가열되지 않는다. 정전 척은 110도 초과의 온도로 가열되지 않고, 그에 의해 정전 척을 제조하는 데에 이용되는 본딩 재료를 손상으로부터 보호한다. 결과적으로, 정전 척의 신뢰도가 이롭게 증가된다. 그러므로, 기판(101) 온도를 위에서 언급된 압력 및 온도 범위들로 제어하는 것은 바람직하게는 승화 레이트를 증가시킬 수 있고, 그에 의해 전체 승화 프로세스 수율을 증가시킨다.
상술한 프로세스 시퀀스(200)는 원하는 승화 온도들을 달성하기 위해 (i) 승화 프로세스 동안 기판(101)의 온도를 증가시키는 것, 및 (ii) 승화 프로세스 동안 챔버 압력 레벨들을 감소시키는 것 둘 다로서 설명되지만, (i) 또는 (ii)를 수행하는 것은 원하는 승화 온도들을 여전히 달성할 것이라는 점에 유의한다.
전술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가 실시예들은 발명의 기본 범위로부터 벗어나지 않고서 고안될 수 있으며, 발명의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 상에 배치된 유전체 층을 에칭하기 위한 방법으로서,
    에칭 처리 챔버 내에서 상기 기판이 위에 배치되어 있는 정전 척으로부터 상기 기판을 디척킹(de-chucking)하는 단계; 및
    상기 기판이 상기 정전 척으로부터 디척킹되는 동안에 상기 유전체 층을 주기적으로 에칭하는 단계
    를 포함하고,
    상기 주기적으로 에칭하는 단계는,
    제1 온도에서 상기 기판 상에 배치된 상기 유전체 층을 에칭하기 위해 상기 에칭 처리 챔버 내로 공급되는 에칭 가스 혼합물로부터 플라즈마를 원격 발생시키는 단계 - 상기 유전체 층을 에칭하는 것은 에칭 부산물들을 발생시킴 -;
    상기 에칭 처리 챔버 내에서 상기 기판을 가스 분배 플레이트를 향하여 수직으로 이동시키는 단계; 및
    제2 온도에서 상기 에칭 부산물들을 승화시키기 위해 승화 가스를 상기 가스 분배 플레이트로부터 상기 기판을 향하여 유동시키는 단계 - 상기 제2 온도는 상기 제1 온도보다 높음 -
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 제1 온도는 섭씨 65도 내지 섭씨 95도이고, 상기 제2 온도는 섭씨 110도 초과인, 방법.
  3. 제1항에 있어서,
    상기 유전체 층을 에칭하는 것은 제1 압력 레벨에서 수행되고, 상기 에칭 부산물들을 승화시키는 것은 제2 압력 레벨에서 수행되고, 상기 제2 압력 레벨은 상기 제1 압력 레벨보다 낮은, 방법.
  4. 제1항에 있어서,
    상기 유전체 층을 에칭하기 이전에, 상기 가스 분배 플레이트는 섭씨 180도로 가열되는, 방법.
  5. 제1항에 있어서,
    상기 에칭 가스 혼합물은 암모니아(NH3) 가스와 삼불화 질소(nitrogen trifluoride)(NF3) 가스의 혼합물인, 방법.
  6. 제1항에 있어서,
    상기 승화 가스는 불활성 가스인, 방법.
  7. 제2항에 있어서,
    상기 제1 온도는 섭씨 70도 내지 섭씨 90도이고, 상기 제2 온도는 섭씨 120도 초과인, 방법.
  8. 제3항에 있어서,
    상기 제1 압력 레벨은 1.5mTorr 초과이고, 상기 제2 압력 레벨은 100mTorr 내지 900mTorr인, 방법.
  9. 삭제
  10. 삭제
  11. 기판 상에 배치된 유전체 층을 에칭하기 위한 방법으로서,
    에칭 처리 챔버 내에서 상기 유전체 층이 위에 배치되어 있는 상기 기판 상에서 트리트먼트 프로세스를 수행하는 단계 - 상기 기판은 정전 척 상에 척킹되고, 상기 트리트먼트 프로세스는 상기 유전체 층의 표면 속성들을 변경하기 위해 이온 플럭스로 상기 유전체 층에 충격을 가하는 것을 포함함 -;
    상기 정전 척으로부터 상기 기판을 디척킹하는 단계; 및
    상기 디척킹된 기판 상의 상기 트리트먼트된 유전체 층을 에칭하는 단계
    를 포함하고,
    상기 에칭하는 단계는,
    (a) 제1 온도 및 제1 압력 레벨에서 상기 기판 상에 배치된 상기 트리트먼트된 유전체 층을 에칭하기 위해 상기 에칭 처리 챔버 내로 공급되는 에칭 가스 혼합물로부터 플라즈마를 원격 발생시키는 단계 - 상기 트리트먼트된 유전체 층을 에칭하는 것은 에칭 부산물들을 발생시킴 -;
    (b) 상기 에칭 처리 챔버 내에서 상기 기판을 가스 분배 플레이트를 향하여 수직으로 이동시키는 단계; 및
    (c) 제2 온도 및 제2 압력 레벨에서 상기 에칭 부산물들을 승화시키기 위해 승화 가스를 상기 가스 분배 플레이트로부터 상기 기판을 향하여 유동시키는 단계 - 상기 제2 온도는 상기 제1 온도보다 높고, 상기 제2 압력 레벨은 상기 제1 압력 레벨보다 낮음 -
    를 포함하고,
    (a)-(c)는 주기적으로 수행되는, 방법.
  12. 제11항에 있어서,
    상기 제2 압력 레벨은 200mTorr, 500mTorr 또는 800mTorr인, 방법.
  13. 제11항에 있어서,
    상기 유전체 층은 탄소 함유 실리콘 층(SiC), 질소 도핑된 탄소 함유 실리콘 층(SiCN) 등인, 방법.
  14. 제11항에 있어서,
    상기 기판을 수직으로 이동시키는 단계는, 상기 기판과 상기 가스 분배 플레이트 사이의 거리가 0.25 인치 내지 0.3 인치로 되게 하는 단계를 포함하는, 방법.
  15. 제11항에 있어서,
    상기 유전체 층은 다공성 SiCOH인, 방법.
KR1020167028197A 2014-03-14 2015-03-10 가스 분배 플레이트 열을 이용한 온도 램핑 KR102488490B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461953593P 2014-03-14 2014-03-14
US61/953,593 2014-03-14
PCT/US2015/019619 WO2015138399A1 (en) 2014-03-14 2015-03-10 Temperature ramping using gas distribution plate heat

Publications (2)

Publication Number Publication Date
KR20160132090A KR20160132090A (ko) 2016-11-16
KR102488490B1 true KR102488490B1 (ko) 2023-01-13

Family

ID=54069640

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167028197A KR102488490B1 (ko) 2014-03-14 2015-03-10 가스 분배 플레이트 열을 이용한 온도 램핑

Country Status (6)

Country Link
US (1) US9368370B2 (ko)
JP (1) JP6585097B2 (ko)
KR (1) KR102488490B1 (ko)
CN (1) CN106133883B (ko)
TW (1) TWI645469B (ko)
WO (1) WO2015138399A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
WO2018051494A1 (ja) * 2016-09-16 2018-03-22 キヤノンアネルバ株式会社 加熱装置、基板加熱装置および半導体デバイスの製造方法
JP6861817B2 (ja) * 2016-12-14 2021-04-21 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス
KR20190090047A (ko) * 2016-12-21 2019-07-31 어플라이드 머티어리얼스, 인코포레이티드 Cvd에 의한 컨포멀 밀폐성 막 증착
CN111065965B (zh) * 2017-09-13 2023-11-03 株式会社Lg化学 图案化基底的制备方法
US11549183B2 (en) * 2019-05-24 2023-01-10 Applied Materials, Inc. Showerhead with inlet mixer
US11482432B2 (en) * 2019-07-03 2022-10-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
TW202209480A (zh) * 2020-08-17 2022-03-01 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050205110A1 (en) 2004-02-26 2005-09-22 Applied Materials, Inc. Method for front end of line fabrication
US20110266252A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
FR2856677B1 (fr) * 2003-06-27 2006-12-01 Saint Gobain Substrat revetu d'une couche dielectrique et procede pour sa fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP5082338B2 (ja) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
KR101125430B1 (ko) * 2009-09-04 2012-03-28 주식회사 디엠에스 피처리물의 디척킹과 함께 반응 챔버 내부 및 정전 척의 드라이 클리닝을 실행하는 플라즈마 반응기의 피처리물 디척킹 장치 및 방법
US8263495B2 (en) 2009-12-18 2012-09-11 Global Unichip Corp. Release accumulative charges by tuning ESC voltages in via-etchers
US9324576B2 (en) * 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8956546B2 (en) * 2010-08-03 2015-02-17 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US8580693B2 (en) 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
JP5642531B2 (ja) 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP6262333B2 (ja) * 2014-03-26 2018-01-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2016072465A (ja) * 2014-09-30 2016-05-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050205110A1 (en) 2004-02-26 2005-09-22 Applied Materials, Inc. Method for front end of line fabrication
US20110266252A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue

Also Published As

Publication number Publication date
TW201545234A (zh) 2015-12-01
CN106133883B (zh) 2019-11-26
TWI645469B (zh) 2018-12-21
WO2015138399A1 (en) 2015-09-17
US9368370B2 (en) 2016-06-14
JP6585097B2 (ja) 2019-10-02
CN106133883A (zh) 2016-11-16
KR20160132090A (ko) 2016-11-16
JP2017509167A (ja) 2017-03-30
US20150262834A1 (en) 2015-09-17

Similar Documents

Publication Publication Date Title
KR102488490B1 (ko) 가스 분배 플레이트 열을 이용한 온도 램핑
US9299577B2 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR101744625B1 (ko) 에칭 방법
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
US6337277B1 (en) Clean chemistry low-k organic polymer etch
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
JP2016536792A (ja) 遠隔プラズマcvd技術を使用する低温窒化ケイ素フィルム
US11164724B2 (en) Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TW201701331A (zh) 閘極電極材料殘留物移除製程

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant