JP2017509167A - ガス分配プレート熱を使用する温度ランピング - Google Patents

ガス分配プレート熱を使用する温度ランピング Download PDF

Info

Publication number
JP2017509167A
JP2017509167A JP2016575313A JP2016575313A JP2017509167A JP 2017509167 A JP2017509167 A JP 2017509167A JP 2016575313 A JP2016575313 A JP 2016575313A JP 2016575313 A JP2016575313 A JP 2016575313A JP 2017509167 A JP2017509167 A JP 2017509167A
Authority
JP
Japan
Prior art keywords
etching
substrate
dielectric layer
temperature
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016575313A
Other languages
English (en)
Other versions
JP6585097B2 (ja
Inventor
セルゲーイ ジー. ベローストッスキー,
セルゲーイ ジー. ベローストッスキー,
チイン ディン,
チイン ディン,
チンチュン チョウ,
チンチュン チョウ,
シュリーニヴァース ディー. ネマニ,
シュリーニヴァース ディー. ネマニ,
アンドリュー グエン,
アンドリュー グエン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017509167A publication Critical patent/JP2017509167A/ja
Application granted granted Critical
Publication of JP6585097B2 publication Critical patent/JP6585097B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板上に配置される誘電体層をエッチングするための方法が提供される。本方法は、エッチング処理チャンバ内で静電チャックから基板を取り外すこと、及び静電チャックから基板が取り外れている間に誘電体層を循環的にエッチングすることを含む。循環エッチングは、第1の温度で基板上に配置された誘電体層をエッチングするため、エッチング処理チャンバに供給されるエッチング混合ガスから、遠隔的にプラズマを生成することを含む。誘電体層のエッチングは、エッチング副生成物を生成する。循環エッチングはまた、エッチング処理チャンバ内のガス分配プレートに向かって基板を垂直に移動すること、及びエッチング副生成物を昇華させるため、ガス分配プレートから基板に向かって昇華ガスを流すことを含む。昇華は、第1の温度よりも高い第2の温度で実行される。【選択図】図2

Description

[0001] 本発明に実施形態は概して、プラズマ処理における温度ランピングの方法に関する。より具体的には、本発明の実施形態は、温度ランピング用のガス分配プレートからの熱を使用することにより、誘電体材料をエッチングするための方法に関する。
[0002] 集積回路は、基板表面上に複雑なパターンの材料層を形成する処理によって可能になる。基板上にパターン形成された材料を作るには、露出した材料を取り除くための制御された方法が必要である。化学エッチングは、下位層にフォトレジストでパターンを転写すること、層を薄くすること、又は表面に既にある特徴の横寸法を薄くすることを含む、様々な目的に使用される。化学エッチングはしばしば、望ましくない副生成物や残留物をもたらすため、その後これらは基板表面から昇華されて取り除かれる。昇華温度は取り除かれる副生成物によって異なるが、一部の昇華温度は化学エッチング自体で必要とされる温度を上回る。そのため、基板から副生成物を昇華させるためには、化学エッチングが完了した後に、基板温度を上げなければならない。
[0003] 従来の技術は、基板がその上に固定されている静電チャックの温度を上げることによって基板を加熱する。しかしながら、静電チャックで使用される結合材料の多くは、基板温度を約1.5℃/秒を超える速度で上昇させる(例えば、上向きに傾斜をつける)ことは不可能である。加えて、現在の静電チャック技術では、約110℃を超える温度で安全かつ信頼性の高い操作は不可能で、昇華処理は設計限界を超えて静電チャックが操作されるように要求することがあるため、110℃を超える温度で昇華させるのは賢明ではない。
[0004] したがって、温度ランピングのための方法を改善する必要があり、より具体的には、温度ランピング方法の改善は、静電チャック温度の上昇だけに依存するわけではない。
[0005] 本書では誘電体層のエッチングとその後に続く昇華処理の方法が開示されるが、この方法は高温を使用することが可能で、これにより基板のスループットを高める。
[0006] 一実施形態では、基板上に配置された誘電体層をエッチングする方法は、エッチング処理チャンバ内で静電チャックから基板を取り外すこと、及び静電チャックから基板が取り外されている間に誘電体層を循環的にエッチングすることを含む。循環エッチングは、第1の温度で基板上に配置された誘電体層をエッチングするため、エッチング処理チャンバに供給されるエッチング混合ガスから、遠隔的にプラズマを生成することを含む。誘電体層のエッチングは、エッチング副生成物を生成しうる。循環エッチングはまた、エッチング位置からガス分配プレートに向けて、エッチング処理チャンバ内の昇華位置まで、基板を垂直に移動すること、及びエッチング副生成物を昇華させるため、ガス分配プレートから昇華ガスを基板に向けて流すことを含む。昇華は、第1の温度よりも高い第2の温度で実行される。
[0007] 別の実施形態では、基板上に配置された誘電体層をエッチングする方法は、エッチング処理チャンバ内で静電チャックに固定されている基板上で処理工程を実行することを含む。エッチングの方法は、静電チャックから基板を取り外すこと、及び取り外された基板上の処理済み誘電体層をエッチングすることを含む。取り外された基板上の処理済み誘電体層のエッチングは、第1の温度及び第1の圧力レベルで基板上に配置された誘電体層をエッチングするため、エッチング処理チャンバに供給されるエッチング混合ガスから、遠隔的にプラズマを生成することを含む。処理済み誘電体層のエッチングは、エッチング副生成物を生成する。取り外された基板上での処理済み誘電体層のエッチングは、(b)エッチング処理チャンバ内で基板をガス分配プレートに向けて垂直に移動すること、及び(c)エッチング副生成物を第2の温度及び第2の圧力レベルで昇華させるため、ガス分配プレートから昇華ガスを基板へ向けて流すことを含む。第2の温度は第1の温度よりも高く、第2の圧力レベルは第1の圧力レベルよりも低く、(a)から(c)は循環的に実行される。
[0008] 別の実施形態では、基板上に配置された誘電体層をエッチングする方法は、エッチング処理チャンバ内で静電チャックに固定されている基板上で処理工程を実行することを含む。処理工程は、誘電体層の表面特性を変えるため、誘電体層にイオンフラックスを衝突させることを含む。エッチングの方法は、静電チャックから基板を取り外すこと、及び取り外された基板上の処理済み誘電体層をエッチングすることを更に含む。取り外された基板上の処理済み誘電体層のエッチングは、第1の温度及び第1の圧力レベルで基板上に配置された誘電体層をエッチングするため、エッチング処理チャンバに供給されるエッチング混合ガスから、遠隔的にプラズマを生成することを含む。処理済み誘電体層のエッチングは、エッチング副生成物を生成する。取り外された基板上での処理済み誘電体層のエッチングは、(b)エッチング処理チャンバ内で基板をガス分配プレートに向けて垂直に動かすこと、及び(c)エッチング副生成物を第2の温度及び第2の圧力レベルで昇華させるため、ガス分配プレートから昇華ガスを基板へ向けて流すことを含む。第2の温度は第1の温度よりも高く、第2の圧力レベルは第1の圧力レベルよりも低く、(a)から(c)は循環的に実行される。
[0009] 本発明の上述の特徴を詳細に理解しうるように、上記に簡単に要約されている本発明のより詳細な説明を、一部が付随の図面に示される実施形態を参照することによって行いうる。しかしながら、本発明は他の等しく有効な実施形態も許容しうることから、添付の図面はこの発明の典型的な実施形態のみを例示しており、従って発明の範囲を限定すると見なすべきではないことに、留意されたい。
本発明の実施形態が実践されうる例示的な処理チャンバの断面図である。 本発明の一実施形態による、処理シーケンスのフロー図である。
[0012] 理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素および特徴は、特記しない限り、他の実施形態にも有益に組み込むことができる。
[0013] しかしながら、本発明は他の等しく有効な実施形態も許容しうることから、添付の図面はこの発明の典型的な実施形態のみを例示しており、従って発明の範囲を限定すると見なすべきではないことに、留意されたい。
[0014] 本書では誘電体層のエッチングとその後に続く昇華処理の方法が開示される。本方法は、高いエッチング温度の使用を可能にし、これにより基板のスループットを高める。
[0015] 図1は、下記で更に説明されるエッチング処理の実施に適した、例示的な処理チャンバ100の断面図である。チャンバ100は、基板101の表面上に配置された材料層から材料を取り除くように構成されている。チャンバ100は、プラズマ支援による選択的なドライエッチング処理に特に役立つ。本発明の実践に適した処理チャンバ100の1つは、カリフォルニア州Santa ClaraのApplied Materials社から販売されているSICONI(商標)処理チャンバである。他の製造業者から販売されている他の真空処理チャンバも、本発明を実践するよう適合しうることに、留意されたい。
[0016] チャンバ100は、真空を損なうことなく、基板101の表面の加熱と冷却の両方を提供する。一実施形態では、処理チャンバ100は、チャンバ本体112と、リッドアセンブリ140と、支持アセンブリ180とを含む。リッドアセンブリ140は、チャンバ本体112の上端に配置されている。支持アセンブリ180は、チャンバ本体112内に少なくとも部分的に配置されている。
[0017] チャンバ本体112は、その側壁に形成された、スリットバルブ開口114を含む。スリットバルブ開口114は、選択的に開閉して、基板ハンドリングロボット(図示せず)によるチャンバ本体112の内部へのアクセスを可能にする。
[0018] 一又は複数の実施形態では、チャンバ本体112は、そこを通って熱伝達流体が流れるためにチャンバ本体112内に形成された、チャネル115を含む。熱伝達流体は、加熱流体又は冷却剤であってもよく、処理中にチャンバ本体112の温度を制御するために使用される。チャンバ本体112の温度の制御は、チャンバ本体112の内部でのガス又は副生成物の望ましくない凝縮を防止するのに役立つ。一実施形態では、熱伝達流体は、水、エチレングリコール、又はそれらの混合物を含む。別の実施形態では、熱伝達流体は窒素ガスも含みうる。
[0019] チャンバ本体112は更に、支持アセンブリ180を取り囲むライナ120を含みうる。ライナ120は、保守及び洗浄のために取外し可能である。ライナ120は、アルミニウムのような金属、セラミック材料、又は他の任意のプロセス適応材料で作られうる。ライナ120は、表面粗さ及び/又は表面積を増すためにビードブラストされてもよく、それによって、表面上に堆積するいかなる材料の密着性をも増大させることにより、処理チャンバ100の汚染をもたらす材料の剥離を防止する。一又は複数の実施形態では、ライナ120は、一又は複数の開孔125と、真空ポート131と流体連通している、ライナ内に形成されたポンピングチャネル129とを含む。開孔125は、ポンピングチャネル129へのガスの流路をもたらす。ポンピングチャネル129は、チャンバ100内のガスを真空ポート131へ逃がす出口を提供する。
[0020] 真空システムは真空ポート131に連結されている。真空システムは、真空ポンプ130及びスロットルバルブ132を含みうる。スロットルバルブ132は、チャンバ100を通るガスの流れを調節する。真空ポンプ130は、チャンバ本体112内に配置された真空ポート131に連結されている。真空ポンプ130は、ライナ120内に形成されたポンピングチャネル129と流体連通している。「ガス」(単数及び複数)という用語は、別途明記されない限り交換可能に使用され、一又は複数の前駆体、反応物質、触媒、キャリア、パージ、洗浄剤、それらの組み合わせ、並びに、チャンバ本体112内に導入される他の任意の流体を表わす。
[0021] リッドアセンブリ140は、少なくとも2つの積み重ねられた構成要素であって、その間にプラズマ容積又はプラズマ空洞を形成するよう構成された、構成要素を含む。一又は複数の実施形態では、リッドアセンブリ140は、第2電極145(下部電極)の鉛直上方に配置された第1電極143(上部電極)を含む。第1電極143と第2電極145は、両者の間にプラズマ容積又はプラズマ空洞150を画定する。第1電極143は、RF電源などの電源152に接続されている。第2の電極145は接地に接続され、2つの電極143、145との間にキャパシタンスを形成する。
[0022] 一又は複数の実施形態では、リッドアセンブリ140は一又は複数のガス注入口154(1つのみを示す)を含む。一又は複数のガス注入口134は、第1電極143の上部区域156内に少なくとも部分的に形成される。一又は複数の処理ガスが、一又は複数のガス注入口154を経由してリッドアセンブリ140に入る。一又は複数のガス注入口154は、その第1端部でプラズマ空洞150と流体連通している。一又は複数のガス注入口154は、その第2端部において、一又は複数の上流ガス源、及び/又は、ガスミキサのような他のガス供給構成要素に連結される。
[0023] 一又は複数の実施形態では、第1電極143は、プラズマ空洞150を画定する拡張区域155を有する。一又は複数の実施形態では、拡張区域155は、環状部材であって、その上部155Aからその下部155Bにかけて徐々に増大する内表面又は内径157を有する、環状部材である。そのため、第1電極143と第2電極145との間の距離は、拡張区域155の端から端までにわたり、変動可能である。距離が変動することは、プラズマ空洞150の中で生成されるプラズマの構成及び安定性を制御するために役立つ。
[0024] 一又は複数の実施形態では、拡張区域155は、逆円錐台、すなわち「じょうご」のように見える。一又は複数の実施形態では、拡張区域155の内径157は、拡張区域155の上部155Aから下部155Bへ徐々に傾斜している。内径157の勾配又は角度は、プロセス要件及び/又はプロセス限界に応じて変動しうる。拡張区域155の長さ又は高さも、特定のプロセス要件及び/又はプロセス限界に応じて変動しうる。
[0025] 第1電極143の内径157が徐々に増大することにより、上述のように、第1電極143の拡張区域155は、第1電極143と第2電極145との間の鉛直距離を変動させる。距離が変動可能であることは、プラズマ空洞150内の電力レベルに直接的に影響する。理論に縛られることを望むわけではないが、2つの電極143、145間の距離の変動により、プラズマは、(プラズマ空洞150全体にわたるのでなければ)プラズマ空洞150のある部分の中で、プラズマ自体を維持するために必要な電力レベルに到達することが、可能になる。プラズマ空洞150内のプラズマは、従って、それほど圧力に左右されず、プラズマがより広範な動作窓の中で生成され、維持されることを可能にする。そのため、再現性及び信頼性がより高いプラズマが、リッドアセンブリ140の中で形成されうる。プラズマ空洞150内で生成されるプラズマは、基板が処理される、支持アセンブリ180の上方の処理領域内に入るのに先立って、リッドアセンブリ140内に区切られることから、リッドアセンブリ140は、遠隔プラズマ源と見なされる。これは、プラズマが処理領域141から離れたところで生成されるからである。
[0026] 拡張区域155は、上述のように、ガス注入口154と流体連通している。一又は複数のガス注入口154の第1端部は、拡張区域155の内径157の最上点において、プラズマ空洞150内に向かって開いていることが可能である。同様に、一又は複数のガス注入口154の第1端部は、拡張区域155の内径157に沿って任意の高さ間隔で、プラズマ空洞150内に向かって開いていることが可能である。図示されていないが、2つのガス注入口154が、拡張区域155の対向する面に配置されて、拡張区域155内への旋回流量パターン、すなわち「渦」流れを作り出すことが可能であり、それは、プラズマ空洞150内のガスを混合するために役立つ。
[0027] リッドアセンブリ140は更に、第1電極143を第2電極145から電気的に絶縁する、アイソレータリング160を含みうる。アイソレータリング160は、アルミニウム酸化物又は他の任意のプロセス適応型絶縁材料から作られうる。アイソレータリング160は、少なくとも拡張区域155を取り囲んでいるか、又は実質的に取り囲んでいる。
[0028] リッドアセンブリ140はまた、第2電極145に隣接したガス分配プレート170及びブロッカプレート175を含みうる。第2電極145、ガス分配プレート170及びブロッカプレート175は、チャンバ本体112に接続されているリッド縁部178上に積み重ねられ、配置されうる。ヒンジアセンブリ(図示せず)が、リッド縁部178をチャンバ本体112と連結するために使用されうる。リッド縁部178は、熱伝達媒体を循環させるための、埋設されたチャネル又は通路179を含みうる。熱伝達媒体は、プロセス要件に応じて、加熱、冷却、又はその両方のために使用されうる。
[0029] 一又は複数の実施形態では、第2電極又は上部プレート145は、プラズマ空洞150の下方に形成された複数のガス通路又はガス開孔165を含み、プラズマ空洞150からのガスがそれを通って流れることを可能にしうる。ガス分配プレート170は、実質的にディスク形状であり、また、複数の開孔172又は通路であって、それを通るガスの流れを分配するための、複数の開孔172又は通路を含む。ガス分配プレート170は、約170℃から約190℃の間の温度、例えば、約180℃まで加熱されうる。開孔172は、処理される基板101が配置されるチャンバ本体112の処理領域141に、制御された均等なガス流分配を提供するために、サイズ調整され、ガス分配プレート170の周辺に位置付けられうる。また更に、開孔172は、流れるガスの速度プロファイルを減速し方向を変えること、並びに、ガスの流れを均等に分配して、基板101の表面の端から端までガスの均等分配を提供することによって、ガス(複数可)が基板101の表面に直接的に突き当たることを防止する。
[0030] 一又は複数の実施形態では、ガス分配プレート170は、リッドアセンブリ140の温度制御を提供するために、ヒータ又は加熱流体を収納するための、一又は複数の埋設されたチャネル又は通路174を含む。抵抗性加熱要素(図示せず)が、ガス分配プレート170を加熱するために、通路174の中に挿入されうる。熱電対(図示せず)が、ガス分配プレート170に接続されて、その温度を調節しうる。熱電対は、上述の加熱要素に印加される電流を制御するために、フィードバックループ内で使用されうる。
[0031] 代替的には、熱伝達媒体が通路174を通過しうる。一又は複数の通路174は、チャンバ本体112の中で処理要件に応じてガス分配プレート170の温度をより良好に制御するために、必要であれば、冷却媒体を包含しうる。例えば、窒素、水、エチレングリコール、又はそれらの混合物といった、任意の好適な熱伝達媒体が使用されうる。
[0032] 一又は複数の実施形態では、リッドアセンブリ140は、一又は複数の加熱ランプ(図示せず)を使用して加熱されうる。典型的には、加熱ランプは、放熱によってガス分配プレート170を含むリッドアセンブリ140の構成部品を加熱するために、ガス分配プレート170の上面の周辺に配置される。
[0033] ブロッカプレート175は、第2電極145とガス分配プレート170との間に、任意で配置されうる。ブロッカプレート175は、第2電極145の下面に取り外し可能に装着される。ブロッカプレート175は、第2電極145と、熱的及び電気的に、良好に接触しうる。一又は複数の実施形態では、ブロッカプレート175は、ボルト又は類似の締め具を使用して、第2電極145に連結されうる。ブロッカプレート175は、第2電極145の外径上にねじ込み又はねじ止め可能である。
[0034] ブロッカプレート175は、第2電極145から分配プレート170への複数のガス通路を提供するための、複数の開孔176を含む。開孔176は、ガス分配プレート170にガスの制御された均等なガス流分配を提供するために、サイズ調整され、ブロッカプレート175の周辺に位置付けられうる。
[0035] 支持体アセンブリ180は、チャンバ本体112内での処理のために基板を支える支持部材185を含むことができる。支持部材185は、チャンバ本体112の底面に形成されるスリットバルブ開口部114を通って延在するシャフト187を通じて、リフト機構183に連結されうる。リフト機構183は、シャフト187の周囲からの真空漏れを防止するベローズ188によって、チャンバ本体112に可撓的に密封されうる。リフト機構183により、支持部材185は、下部移送位置と高い処理位置との間で、チャンバ本体112の中で垂直に動くことが可能になる。一実施形態では、移送位置は、基板が基板支持部材185からロボットによって除去されうるように、チャンバ本体112の側壁に形成されたスリットバルブ開口部114よりも若干下にある。
[0036] 支持部材185は、支持部材185とリッドアセンブリ140との間の距離が処理中に制御されうるように、チャンバ本体112の中で垂直に動きうる。センサ(図示せず)は、チャンバ100の中の支持部材185の位置に関する情報を提供しうる。
[0037] 稼働中には、支持部材185は、処理されている基板101の温度を制御するために、リッドアセンブリ140の近位まで上昇しうる。そのため、基板101は、ガス分配プレート170から発せられた放熱を介して加熱されうる。代替的には、基板101は、リフトリング195によって作動するリフトピン193を使用して、加熱されたリッドアセンブリ140の近位へと、支持部材185を離れて持ち上げられうる。
[0038] 一又は複数の実施形態では、支持部材185は、その上で処理される基板101を支持するための、平坦な円形の表面、又は、実質的に平坦な円形の表面を有する。支持部材185は、アルミニウムで構成されうる。支持部材185は、基板101の裏側の汚染を低減するために、例えばシリコン又はセラミック材料などの他の何らかの材料で作られた、取外し可能な上部プレート190を含みうる。
[0039] 一又は複数の実施形態では、基板101は、真空チャックを使用して支持部材185に固定されうる。一又は複数の実施形態では、基板101は、静電チャックを使用して支持部材185に固定されうる。静電チャックは、典型的には、少なくとも、支持部材185上に配置される、又は、支持部材185の一体部分として形成されうるプラズマ出力電極181及びチャッキング電極103を取り囲む、誘電体材料を含む。静電チャックの誘電体部分は、プラズマ出力電極181及びチャッキング電極103を、基板101から、及び支持アセンブリ180の残りの部分から、電気的に絶縁する。
[0040] 一実施形態では、プラズマ出力電極181は、複数のRFバイアス電源184、186に連結される。RFバイアス電源184、186は、RF電力をプラズマ出力電極181に供給する。プラズマ出力電極181は、チャンバ本体112の処理領域141内に配置されたガスから形成されるプラズマ放電を励起し、維持する。
[0041] 図1に描写した実施形態では、デュアルRFバイアス電源184、186は、整合回路189を通じて、支持部材185内に配置されたプラズマ出力電極181に連結される。RFバイアス電源184、186によって生成された信号は、チャンバ100内に提供された混合ガスをイオン化するため、1回のフィードで整合回路189を通って支持部材185に送られる。混合ガスのイオン化は、堆積、エッチング、又は他のプラズマ強化プロセスを実行するために必要なエネルギーを提供する。RFバイアス電源184、186は一般的に、約50kHzから約200MHzまでの周波数で、約0ワットから約5000ワットまでの電力を有するRF信号を、生成することができる。必要に応じてプラズマの特性を制御するために、追加のバイアス電源がプラズマ出力電極181に連結されることもある。
[0042] 一実施形態では、チャッキング電極103は、複数のRF電源105に連結される。RF電源105は、RF電力をチャッキング電極103に供給する。チャッキング電極103は、静電チャックと基板101との間に静電保持力を生成する。RF電源105は一般的に、約50kHzから約200MHzまでの周波数、例えば、約2MHz又は約60MHzの周波数で、約0ワットから約5000ワットまでの電力を有するRF信号を、生成することができる。
[0043] 支持部材185は、リフトピン193を収容するために支持部材185を通って形成されたボア192を含むことが可能であり、そのうちの1つが図1に示されている。各リフトピン193は、セラミック又はセラミック含有材料で構成され、基板の処理及び搬送のために使用される。リフトピン193は、チャンバ本体112の中に配置された環状リフトリング195と係合している時に、それぞれのボア192の中で動くことが可能である。リフトリング195は、リフトリング195が上部位置にある時にリフトピン193の上面が支持部材185の基板支持面の上方に延在しうるように、動くことが可能である。反対に、リフトリング195が下部位置にある時には、リフトピン193の上面は、支持部材185の基板支持面の下方に位置する。したがって、各リフトピン193は、リフトリング195が下部位置と上部位置との間で動く時に、支持部材185内のそのそれぞれのボア192内へ移動する。
[0044] 支持アセンブリ180は更に、支持部材185の周辺に配置されたエッジリング196を含みうる。一又は複数の実施形態では、エッジリング196は、支持部材185の外縁部を覆うよう、かつ、支持部材185を堆積から保護するよう、適合している環状部材である。エッジリング196は、支持部材185上に、又は支持部材185に隣接して位置付けられて、支持部材185の外径とエッジリング196の内径との間に環状パージガスチャネルを形成しうる。環状パージガスチャネルは、支持部材185及びシャフト187を通って形成されたパージガス導管197と流体連通しうる。パージガス導管197は、パージガス供給(図示せず)と流体連通して、パージガスチャネルにパージガスを提供する。窒素、アルゴン、又はヘリウムのような任意の好適なパージガスが、単独で、又は組み合わされて、使用されうる。稼働中には、パージガスは、導管197を通ってパージガスチャネル内へと流れ、支持部材185上に配置された基板101のエッジの周辺を流れる。そのため、エッジリング196と協働して作用するパージガスは、基板101のエッジ及び/又は裏側への堆積を防止する。
[0045] 支持アセンブリ180の温度は、支持部材185の本体に埋設された流体チャネル198を通って循環する流体によって、制御されうる。一又は複数の実施形態では、流体チャネル198は、支持アセンブリ180のシャフト187を通って配置された熱伝達導管199と流体連通している。流体チャネル198は、支持部材185の基板受容表面に均一な熱伝達を提供するために、支持部材185の周辺に位置付けられる。流体チャネル198及び熱伝達導管199は、支持部材185及びその上に配置された基板101を加熱するか、若しくは冷却するかのために、熱伝達流体を流しうる。水、窒素、エチレングリコール、又はそれらの混合物といった、任意の好適な熱伝達流体が使用されうる。支持部材185は更に、支持部材185の支持面上に配置された基板101の温度を示す支持部材185の支持面の温度をモニタするための、埋設された熱電対(図示せず)を含みうる。例えば、流体チャネル198を通って循環する流体の温度又は流量を制御するために、熱電対からの信号が、フィードバックループ内で使用されうる。
[0046] 処理チャンバ100の動作を調節するために、システムコントローラ(図示せず)が使用されうる。システムコントローラは、コンピュータのメモリ上に保存されたコンピュータプログラムの制御下で動作可能である。コンピュータプログラムは、下記で説明する処理が処理チャンバ100内で実行されることを可能にする指令を含みうる。例えば、コンピュータプログラムは、処理のシーケンス及びタイミング、ガスの混合、チャンバ圧力、RF電力レベル、サセプタの位置付け、スリットバルブの開閉、基板の冷却、及び、特定の処理の他のパラメータを指示しうる。
[0047] 図2は、エッチング処理を実行するために使用される処理シーケンス200を示す。一実施形態では、処理シーケンス200は、誘電体材料、例えば、高いエッチング選択性を有する基板101上に配置された低誘電率材料にエッチングするために使用される。本書で使用されているように、低誘電率材料とは、誘電率が3.9未満、すなわち二酸化ケイ素の誘電率を下回る誘電率を有する任意の材料を意味する。処理シーケンス200は、図1に描かれたチャンバ100に対応しているが、処理シーケンス200は任意の真空処理チャンバに対応しうるものと考えられる。
[0048] 処理シーケンス200は、基板101をチャンバ100に移送することによって、ブロック202で開始される。一実施形態では、基板101は、結晶シリコン(例えばSi<100>又はSi<111>)、単結晶シリコン、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターン化された又はパターン化されていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料であってもよい。
[0049] 基板101上に配置された誘電体材料は、ビア、トレンチエッチングに対してマスクされ、曝露面及び非曝露面を有する。一実施形態では、誘電体材料は、炭素含有シリコン層(SiC)、窒素がドープされた炭素含有シリコン層(SiCN)、又は同種のものである。別の実施形態では、誘電体材料は、例えば、限定するものではないが、フッ素がドープされた二酸化ケイ素、炭素がドープされた二酸化物、多孔性二酸化ケイ素、炭素がドープされた多孔性二酸化ケイ素、スピンオンシリコンベースポリマー誘電体、又はスピンオンポリマー有機誘電体などの、低誘電率材料である。一実施形態では、誘電体材料は、約2.7未満のバルク誘電率を有する多孔性SiCOHなどの低誘電率材料である。
[0050] ブロック204では、処理工程は、誘電体材料の表面特性を変え、その後の化学エッチング処理の誘電体材料の除去を促進するように実行される。一実施形態では、処理工程は、誘電体層の表面特性を変えるため、誘電体層にイオンフラックスを衝突させることを含む。処理工程は異方性イオン誘導処理であるため、下層に曝露した誘電体材料がある領域は、イオンフラックスに曝露されない。イオンフラックスは、一又は複数の種類の原子核種又は分子種からなる。処理済み誘電体材料は、ブロック206で処理チャンバ100に引き続き供給される化学エッチングガスと容易に反応し、エッチング副生成物を形成するが、その後この副生成物は昇華され、ポンプによって処理チャンバ100から排出される。
[0051] ブロック204で実行される処理行程は、処理混合ガスをチャンバ100に供給することを含む。一実施形態では、処理混合ガスは、ヘリウム、ネオン、キセノン、窒素、アルゴン又はこれらの組み合わせを含みうる。次にプラズマは処理混合ガスから形成され、誘電体材料の露出した表面をプラズマ処理する。処理工程はプラズマ中のイオン核種を活性化し、ヘリウムイオン、ネオンイオン、キセノンイオン、窒素イオン、又はアルゴンイオン、例えば、Arを形成する。一実施形態では、イオン核種は、例えば約2−4eVの低いイオン化ポテンシャルを有し、イオンフラックスのエネルギーレベルを低減する。例えば、一実施形態では、イオンフラックスエネルギーを更に調整するため、アルゴンイオンArにネオン及びヘリウムが付加されうる。誘電体材料が多孔性SiCOHなどの低誘電体材料である実施形態では、イオン核種は、酸化ケイ素マトリクスから炭素核種を弾き出す。
[0052] イオン誘導処理工程の間、幾つかの処理パラメータは処理工程を制御するため調整されうる。一実施形態では、基板101支持部材185に固定される。処理チャンバ100内の処理圧力は、イオン核種の方向性を制御するため、約10mTorr未満、例えば、約5mTorr未満、例えば、約1.5mTorr未満に調整される。処理チャンバ100内のプラズマを維持するため、約50ワットから約100ワットのRF電力がリッドアセンブリ140に印加されうる。基板101の温度は、約65℃から約95℃の間、例えば、約70℃から約90℃の間となる。温度範囲は記述されるが、イオン誘導処理工程は温度に敏感な工程ではないことに留意されたい。
[0053] ブロック204でイオン誘導処理工程が終了し、ブロック206でその後の化学エッチング処理を行う前に、基板101は基板支持部材185の静電チャックから取り外される。本書に記載のように、取り外されると、支持部材185から基板101を持ち上げることなく、基板101に適用される静電気力の低減又は停止を参照することができる。例えば、基板101と静電チャックとの間の静電気力を低減又は防止するため、チャッキング電極103に供給される電力は、低減されるか、停止されることがある。加えて、ヘリウム(He)等の裏側ガスが停止される。基板101が取り外されると、基板101と静電チャックとの間の熱伝達が低下するため、基板101の取り外しは、リッドアセンブリ140と基板101との間の熱伝達を有利に増大させる。取り外しによって、基板101からの熱を放出する静電チャックの能力は効果的に抑制される。その結果、基板101からの放熱は、例えば、流体チャネル198に供給される冷却流体の増加による静電チャックの冷却よりも速く、基板101の温度を高める。しかしながら、基板101が取り外されても、静電チャックから加熱素子への電力は、基板101へ熱を供給し続けうることに留意されたい。
[0054] ブロック206で、遠隔プラズマエッチング処理は基板101上で実施され、所望の温度に到達し、基板101上に配置されたイオン処理された誘電体材料を選択的にエッチングする。遠隔プラズマエッチング処理は、基板101上の処理済み誘電体材料を取り除くために実行される化学処理である。遠隔プラズマ化学エッチング処理は、処理チャンバ100内のプラズマ空洞150にエッチング混合ガスを供給することによって実行され、修正された誘電体材料をエッチングするための処理ガスを流す前に、プラズマ空洞150内で処理混合ガスから遠隔プラズマを形成する。
[0055] 一実施形態では、処理済み誘電体材料を取り除くために使用されるエッチング混合ガスは、アンモニア(NH)ガスと三フッ化窒素(NF)ガスの混合物である。処理チャンバに導入される各ガスの量は、例えば、除去される処理済み誘電体材料の厚み、処理される基板101の形状寸法、プラズマ空洞の容積容量、チャンバ本体の容積容量、更にはチャンバ本体に連結される真空システムの能力などに適合するように変更され、調整されうる。
[0056] プラズマはプラズマ空洞150内に遠隔生成されるため、遠隔プラズマ源のエッチング混合ガスから分離されるエッチャントは比較的低刺激性で穏やかなため、下層の材料が曝露されるまで処理済み誘電体材料と徐々に化学反応する。一実施形態では、アンモニア(NH)ガス及び三フッ化窒素(NF)ガスは遠隔プラズマ空洞150内で分離され、フッ化アンモニウム(NHF)及び/又はHFを伴うフッ化アンモニウム(NHF.HF)を形成する。フッ化アンモニウム(NHF)とHFを伴うフッ化アンモニウム(NHF.HF)のエッチャントが、処理チャンバ100の処理領域141へ導入され、基板101の表面と反応すると、フッ化アンモニウムとHFを伴うフッ化アンモニウムのエッチャントは誘電体材料と反応して、固体の副生成物(NHSiFを形成する。その後、副生成物は、ブロック208で更に詳細に説明されるように、高温の昇華処理を使用して、基板101から除去される。
[0057] 一又は複数の実施形態では、アンモニア(NH)と三フッ化窒素(NF)を少なくとも1:1のモル比で有するエッチング混合ガスを提供するためにガスが加えられる。一又は複数の実施形態では、エッチング混合ガスのモル比は少なくとも3:1(アンモニア対三フッ化窒素)である。ガスは、約5:1(アンモニア対三フッ化窒素)から約30:1のモル比でチャンバ100に導入される。更に別の実施形態では、エッチング混合ガスのモル比は約5:1(アンモニア対三フッ化窒素)から約10:1である。エッチング混合ガスのモル比は、約10:1(アンモニア対三フッ化窒素)から約20:1の間になりうる。
[0058] 一実施形態では、真空処理チャンバ100の処理領域141にエッチング混合ガスを運び入れるのを支援するため、他の種類のガス、例えば、不活性ガス又はキャリアガスがエッチング混合ガスに供給されうる。不活性ガス又はキャリアガスの好適な例には、Ar、He、N、O、NO、NO、NOなどのうちの少なくとも1つが含まれる。一実施形態では、真空処理チャンバ100に供給されうる不活性ガス又はキャリアガスは、約200sccmから約1500sccmの体積流量のAr又はHeである。
[0059] 遠隔プラズマ源エッチング処理を実行するためのエッチング混合ガスを供給する間、基板101の温度は、約65℃から約95℃の間、例えば、約70℃から約90℃の保持されうる。基板101の温度を上記の範囲に保持することは、エッチング処理のエッチング率を高めるのに役立つと考えられている。過度に高い温度は、エッチング用の所望のエッチャント、フッ化アンモニウム(NHF)及び/又はHFを伴うフッ化アンモニウム(NHF.HF)を形成するための、アンモニア(NH)と三フッ化窒素(NF)との間の化学反応を抑制する。したがって、基板101の温度を約65℃から約95℃の間、例えば、約70℃から約90℃の間の範囲に制御することは、望ましくはエッチング処理中のエッチング速度を高め、これによって、エッチング処理の全体的なスループットを高めうる。
[0060] エッチング混合ガスが処理チャンバへ供給され、基板101に曝露された後、処理済み誘電体材料はエッチングされ、基板101の表面上には、フルオロケイ酸アンモニウム(NHSiFなどの固体エッチング副生成物が形成される。エッチング副生成物は、ブロック208で更に議論されるように、昇華処理によって基板101から除去される。エッチング処理は、基板101の上に配置された処理済み誘電体材料が反応してエッチング副生成物に変換されるまで、連続的に実行されうる。
[0061] エッチング処理中、幾つかの処理パラメータはエッチング処理を制御するため調整されうる。一実施形態では、チャンバ圧力は、ブロック202のイオン誘導処理工程での前の圧力レベルから、約1Torrを超える値まで、例えば、約1.5Torrを超える値まで高められうる。例えば、約1.5Torrを超える高圧では、リッドアセンブリ140のガス分配プレート170から基板101までの熱伝達が増大する。したがって、基板101は取り外されているが、言い換えるならば、静電気力は印加されておらず、裏側ガスは流れていないが、エッチング処理の間、高いチャンバ圧力は、基板101を所望の温度に維持するため、基板101と支持部材185の静電チャックとの間の強力な熱結合を可能にする。
[0062] 化学エッチング混合ガス内のプラズマを維持するため、約80KHzの周波数のRF電源が印加される。例えば、約20ワットから約70ワットのRF電源がエッチング混合ガスに印加されうる。本書で参照されているRF電源は、電源152から電極143、145へ供給されるRFになりうる。一実施形態では、RF電源は約80KHzの周波数を有しうる。エッチング混合ガスは、約400sccmから約2000sccmの流速でチャンバへ流し込まれる。一実施形態では、エッチング処理は約60秒から約2000秒の間実行されうる。しかしながら、上述の処理パラメータは、処理チャンバ100のサイズに応じて変化しうることに留意されたい。一実施形態では、エッチング処理に先立って、ガス分配プレート170は、約170℃を超える温度、例えば、約180℃を超える温度まで、予熱されている。
[0063] ブロック208では、エッチング処理が完了し、処理済み誘電体材料が実質的に反応して、エッチング副生成物に変換された後、エッチング副生成物を除去するため、昇華処理が実行される。昇華の実行に先立って、支持部材185はガス分配プレート170に向けて上方に垂直に移動される。上述のように、一実施形態では、エッチング処理に先立って、ガス分配プレート170は、約170℃を超える温度、例えば、約180℃を超える温度まで、予熱されている。したがって、エッチング処理中、ガス分配プレート170は既に所望の温度に加熱されている。一実施形態では、基板101は加熱されたガス分配プレート170から、約0.1インチ〜約0.5インチ、例えば、約0.25インチ〜約0.3インチ離れている。有利には、ガス分配プレート170から基板101までが近接していることにより、処理中すなわちエッチング処理中と比較して、基板101へのより速い熱伝達が可能になる。
[0064] 一実施形態では、昇華圧力レベルは、約100mTorrから約900mTorrまでの間、例えば、約200mTorr、約500mTorr、又は約800mTorrとなる。昇華圧力レベルの詳細は、以下で更に説明される。別の実施形態では、処理チャンバ100の圧力は、遠隔プラズマエッチング圧力レベルから昇華圧力レベルまで、約100mTorrから約900mTorrの間の値だけ、例えば、約200mTorr、約500mTorr、又は約800mTorr低減される。
[0065] 昇華処理は、エッチング副生成物を、処理チャンバ100の外へポンプで排出しうる揮発性の状態に昇華させる。ブロック208の昇華処理は基板101からエッチング副生成物を除去するが、ブロック206での遠隔プラズマエッチング処理が実行される同一チャンバ内で実行される。例えば、上述のように処理チャンバ100などで、インシトゥで実行される。
[0066] 昇華処理は、エッチング副生成物を昇華させる高温で、チャンバ100に昇華ガスを供給することによって実行される。一実施形態では、昇華ガスは不活性ガス、例えば、限定するものではないが、ヘリウムガス、アルゴンガス、又は窒素ガスを含む。例えば、昇華ガスは約600sccmで供給されるヘリウムガスであってもよい。昇華温度は、個別のエッチング副生成物に基づいて選択される。一実施形態では、エッチング副生成物、例えば、(NHSiFは、約110℃を超える昇華温度、例えば、約120℃を超える、或いは約150℃を超える温度が必要となる。別の実施形態では、昇華温度は、エッチング処理温度を超える昇華温度、例えば、約110℃を超える、約120℃を超える、或いは約150℃を超える温度となる。一実施形態では、ブロック206及び208は、所望の結果が実現されるまで、循環的に実行される。
[0067] 上述のように、ガス分配プレート170から基板101への熱伝達は、チャンバ圧力レベルが増すにつれて増大し、逆もまた同様である。ガス分配プレート170から基板101への熱伝達は、チャンバ圧力レベルが低下するにつれて減少する。同様に、基板101から静電チャックへの熱伝達は、チャンバ圧力レベルが増すにつれて増大し、逆もまた同様である。基板101から静電チャックへの熱伝達は、チャンバ圧力レベルが低下するにつれて減少する。昇華処理のために基板101の温度を高めることは望ましいため、高圧力レベルと低圧力レベルとの間の最適な圧力範囲は、ガス分配プレートから基板101への熱伝達が最適で、基板101から静電チャックへの熱伝達が最小になるように求められる。
[0068] したがって、約200mTorr、約500mTorr、或いは約800mTorrで、ガス分配プレート170は、取り外された基板101の温度を以前のエッチング温度範囲から、エッチング副生成物の昇華に必要とされる約110℃を超えて、迅速に上昇させる。例えば、約200mTorrでは、ガス分配プレート170から約0.3インチ上方に配置された取り外された基板101が約110℃に達するのに約40秒が経過する。約500mTorr及び約800mTorrでは、ガス分配プレート170から約0.3インチ上方に配置された取り外された基板101が約110℃に達するのに約20秒が経過する。
[0069] 約110℃を超える温度で、約15秒から約65秒までの、例えば、約20秒から約30秒までの、或いは約30秒から約60秒までの昇華は、有利には、従来の昇華技術(約60秒から約120秒までの時間を要する)よりも迅速にエッチング副生成物を昇華する。加えて、基板は支持部材185の静電チャックから取り外されているため、静電チャックは基板101によって効率的に加熱されない。静電チャックは110℃を超える温度まで加熱されることはなく、これによって、静電チャックを作るために使用される結合材料を損傷から保護する。その結果、静電チャックの信頼性が効果的に高まる。したがって、上述の圧力及び温度範囲で基板101の温度を管理することは、昇華速度を望ましく高め、これにより昇華処理のスループットを全体的に高める。
[0070] 上記の処理シーケンス200は、所望の昇華温度を実現するため、(i)昇華処理中に基板101の温度を上げること、及び(ii)昇華処理中にチャンバ圧力レベルを下げること、として記述されているが、(i)又は(ii)の実行が所望の昇華温度を実現することに留意されたい。
[0071] 上記の記述は本発明の実施形態を対象としているが、本発明のその他の及び更なる実施形態が、本発明の基本的な範囲を逸脱することなく、考案され、本発明の範囲は、以下の特許請求の範囲によって定められる。

Claims (15)

  1. 基板上に配置された誘電体層をエッチングするための方法であって、
    エッチング処理チャンバ内で、静電チャックからその表面に配置されている前記基板を取り外すこと、及び
    前記静電チャックから前記基板が取り外される間に前記誘電体層を循環的にエッチングすることを含み、前記循環エッチングは、
    前記基板上に配置された前記誘電体層を第1の温度でエッチングするため、前記エッチング処理チャンバへ供給されるエッチング混合ガスからプラズマを遠隔生成することを含み、前記誘電体層のエッチングはエッチング副生成物を生成し、
    前記エッチング処理チャンバ内で前記基板をガス分配プレートに向けて垂直に移動すること、及び
    前記エッチング副生成物を第2の温度で昇華させるため、前記ガス分配プレートから昇華ガスを前記基板に向けて流すことを含み、前記第2の温度は前記第1の温度よりも高い、方法。
  2. 前記第1の温度は約65℃から約95℃の間で、前記第2の温度は約110℃を超える、請求項1に記載の方法。
  3. 前記誘電体層のエッチングは第1の圧力レベルで実行され、前記エッチング副生成物の昇華は第2の圧力レベルで実行され、前記第2の圧力レベルは前記第1の圧力レベルを下回る、請求項1に記載の方法。
  4. 前記誘電体層のエッチングの前に、前記ガス分配プレートは約180℃に加熱される、請求項1に記載の方法。
  5. 前記エッチング混合ガスはアンモニア(NH)と三フッ化窒素(NF)ガスの混合物である、請求項1に記載の方法。
  6. 前記昇華ガスは不活性ガスである、請求項1に記載の方法。
  7. 前記第1の温度は約70℃から約90℃の間で、前記第2の温度は約120℃を超える、請求項2に記載の方法。
  8. 前記第1の圧力レベルは約1.5mTorrを上回り、前記第2の圧力レベルは約100mTorrから900mTorrの間である、請求項3に記載の方法。
  9. 基板上に配置された誘電体層をエッチングするための方法であって、
    エッチング処理チャンバ内で、表面に前記誘電体層が配置されている前記基板上で処理工程を実行することを含み、前記基板は静電チャック上に固定されており、
    前記静電チャックから前記基板を取り外すこと、及び
    前記取り外された基板上で前記処理済み誘電体層をエッチングすることを含み、前記エッチングは、
    (a)前記基板上に配置された前記処理済み誘電体層を第1の温度及び第1の圧力レベルでエッチングするため、前記エッチング処理チャンバへ供給されるエッチング混合ガスからプラズマを遠隔生成することを含み、前記処理済み誘電体層のエッチングはエッチング副生成物を生成し、
    (b)前記エッチング処理チャンバ内で前記基板をガス分配プレートに向けて垂直に移動すること、及び
    (c)前記エッチング副生成物を第2の温度と第2の圧力レベルで昇華させるため、前記ガス分配プレートから昇華ガスを前記基板に向けて流すことを含み、前記第2の温度は前記第1の温度よりも高く、前記第2の圧力レベルは前記第1の圧力レベルよりも低く、(a)から(c)が循環的に実行される、方法。
  10. 前記処理工程は、誘電体材料の表面特性を変えるため、前記誘電体層にイオンフラックスを衝突させることを含む、請求項9に記載の方法。
  11. 基板上に配置された誘電体層をエッチングするための方法であって、
    エッチング処理チャンバ内で、表面に前記誘電体層が配置されている前記基板上で処理工程を実行することを含み、前記基板は静電チャック上に固定されており、前記処理工程は前記誘電体層の表面特性を変えるため、前記誘電体層にイオンフラックスを衝突させることを含み、
    前記静電チャックから前記基板を取り外すこと、及び
    前記取り外された基板上で前記処理済み誘電体層をエッチングすることを含み、前記エッチングは、
    (a)前記基板上に配置された前記処理済み誘電体層を第1の温度及び第1の圧力レベルでエッチングするため、前記エッチング処理チャンバへ供給されるエッチング混合ガスからプラズマを遠隔生成することを含み、前記処理済み誘電体層のエッチングはエッチング副生成物を生成し、
    (b)前記エッチング処理チャンバ内で前記基板をガス分配プレートに向けて垂直に移動すること、及び
    (c)前記エッチング副生成物を第2の温度と第2の圧力レベルで昇華させるため、前記ガス分配プレートから昇華ガスを前記基板に向けて流すことを含み、前記第2の温度は前記第1の温度よりも高く、前記第2の圧力レベルは前記第1の圧力レベルよりも低く、(a)から(c)が循環的に実行される、方法。
  12. 第2の圧力レベルは、約200mTorr、約500mTorr又は約800mTorrである、請求項9又は11に記載の方法。
  13. 前記誘電体層は、炭素含有シリコン層(SiC)、窒素がドープされた炭素含有シリコン層(SiCN)、又は同種のものである、請求項9又は11に記載の方法。
  14. 前記基板を垂直に移動することは、
    前記基板と前記ガス分配プレートとの間の距離が約0.25インチから約0.3インチの間になることを含む、請求項9又は11に記載の方法。
  15. 前記誘電体層は多孔性SiCOHである、請求項9又は11に記載の方法。
JP2016575313A 2014-03-14 2015-03-10 ガス分配プレート熱を使用する温度ランピング Active JP6585097B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461953593P 2014-03-14 2014-03-14
US61/953,593 2014-03-14
PCT/US2015/019619 WO2015138399A1 (en) 2014-03-14 2015-03-10 Temperature ramping using gas distribution plate heat

Publications (2)

Publication Number Publication Date
JP2017509167A true JP2017509167A (ja) 2017-03-30
JP6585097B2 JP6585097B2 (ja) 2019-10-02

Family

ID=54069640

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016575313A Active JP6585097B2 (ja) 2014-03-14 2015-03-10 ガス分配プレート熱を使用する温度ランピング

Country Status (6)

Country Link
US (1) US9368370B2 (ja)
JP (1) JP6585097B2 (ja)
KR (1) KR102488490B1 (ja)
CN (1) CN106133883B (ja)
TW (1) TWI645469B (ja)
WO (1) WO2015138399A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
WO2018051494A1 (ja) * 2016-09-16 2018-03-22 キヤノンアネルバ株式会社 加熱装置、基板加熱装置および半導体デバイスの製造方法
WO2018111333A1 (en) * 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积
US11613068B2 (en) * 2017-09-13 2023-03-28 Lg Chem, Ltd. Preparation method of patterned substrate
US11549183B2 (en) * 2019-05-24 2023-01-10 Applied Materials, Inc. Showerhead with inlet mixer
US11482432B2 (en) * 2019-07-03 2022-10-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
CN110993499B (zh) 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
TW202209480A (zh) * 2020-08-17 2022-03-01 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
US20110294300A1 (en) * 2010-05-27 2011-12-01 Applied Materials, Inc. Selective etch for silicon films
WO2013062831A2 (en) * 2011-10-27 2013-05-02 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US20130130499A1 (en) * 2010-08-03 2013-05-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP2016072465A (ja) * 2014-09-30 2016-05-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JPWO2015147038A1 (ja) * 2014-03-26 2017-04-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
FR2856677B1 (fr) * 2003-06-27 2006-12-01 Saint Gobain Substrat revetu d'une couche dielectrique et procede pour sa fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP5082338B2 (ja) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US8333842B2 (en) 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
KR101125430B1 (ko) * 2009-09-04 2012-03-28 주식회사 디엠에스 피처리물의 디척킹과 함께 반응 챔버 내부 및 정전 척의 드라이 클리닝을 실행하는 플라즈마 반응기의 피처리물 디척킹 장치 및 방법
US8263495B2 (en) 2009-12-18 2012-09-11 Global Unichip Corp. Release accumulative charges by tuning ESC voltages in via-etchers
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8580693B2 (en) 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
JP5642531B2 (ja) 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
US20050205110A1 (en) * 2004-02-26 2005-09-22 Applied Materials, Inc. Method for front end of line fabrication
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
US20110294300A1 (en) * 2010-05-27 2011-12-01 Applied Materials, Inc. Selective etch for silicon films
JP2013529391A (ja) * 2010-05-27 2013-07-18 アプライド マテリアルズ インコーポレイテッド シリコン膜用選択エッチング
US20130130499A1 (en) * 2010-08-03 2013-05-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JPWO2012018010A1 (ja) * 2010-08-03 2013-10-03 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2013062831A2 (en) * 2011-10-27 2013-05-02 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US20130105303A1 (en) * 2011-10-27 2013-05-02 Dmitry Lubomirsky Process chamber for etching low k and other dielectric films
JP2014532988A (ja) * 2011-10-27 2014-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JPWO2015147038A1 (ja) * 2014-03-26 2017-04-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP2016072465A (ja) * 2014-09-30 2016-05-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置

Also Published As

Publication number Publication date
JP6585097B2 (ja) 2019-10-02
WO2015138399A1 (en) 2015-09-17
US20150262834A1 (en) 2015-09-17
KR102488490B1 (ko) 2023-01-13
US9368370B2 (en) 2016-06-14
TW201545234A (zh) 2015-12-01
CN106133883B (zh) 2019-11-26
CN106133883A (zh) 2016-11-16
KR20160132090A (ko) 2016-11-16
TWI645469B (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
JP6585097B2 (ja) ガス分配プレート熱を使用する温度ランピング
KR102360220B1 (ko) 듀얼 다마신 구조에서 유전체 배리어 층을 에칭하기 위한 방법들
KR101744625B1 (ko) 에칭 방법
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
CN110504157B (zh) 基板处理方法和基板处理装置
US20170256393A1 (en) Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
KR102374523B1 (ko) 유전체 물질들의 화학적 에칭을 위한 챔버 장치
US6337277B1 (en) Clean chemistry low-k organic polymer etch
JP2016536792A (ja) 遠隔プラズマcvd技術を使用する低温窒化ケイ素フィルム
US11164724B2 (en) Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
JP2001501379A (ja) パーティクル制御方法及びプラズマ処理チャンバー
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
JP5525319B2 (ja) エッチング方法およびエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190423

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190904

R150 Certificate of patent or registration of utility model

Ref document number: 6585097

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250