JP2014532988A - 低k及びその他の誘電体膜をエッチングするための処理チャンバ - Google Patents

低k及びその他の誘電体膜をエッチングするための処理チャンバ Download PDF

Info

Publication number
JP2014532988A
JP2014532988A JP2014538839A JP2014538839A JP2014532988A JP 2014532988 A JP2014532988 A JP 2014532988A JP 2014538839 A JP2014538839 A JP 2014538839A JP 2014538839 A JP2014538839 A JP 2014538839A JP 2014532988 A JP2014532988 A JP 2014532988A
Authority
JP
Japan
Prior art keywords
chamber
plasma
chuck
showerhead
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014538839A
Other languages
English (en)
Other versions
JP6438302B2 (ja
Inventor
ディミトリー ルボミルスキー
ディミトリー ルボミルスキー
スリニバス ネマニ
スリニバス ネマニ
エリ− イエー
エリ− イエー
セルゲイ ジー ベロストットスキー
セルゲイ ジー ベロストットスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014532988A publication Critical patent/JP2014532988A/ja
Application granted granted Critical
Publication of JP6438302B2 publication Critical patent/JP6438302B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

低k及び他の誘電体膜のエッチングのための方法及び処理チャンバが記載される。例えば、この方法は、プラズマ処理によって低k誘電体層の部分を改質する工程を含む。低k誘電体層の改質された部分は、マスク層及び低k誘電体層の未改質部分の上で選択的にエッチングされる。異なるプラズマを交互に生成するための複数のチャンバ領域を有するエッチングチャンバが記載される。実施形態では、第1電荷結合プラズマ源が、ある動作モードにおいてワークピースへイオン束を生成するために設けられ、一方、二次プラズマ源が、別の動作モードにおいてワークピースに顕著なイオン束なしで反応種束を提供するために設けられる。コントローラは、誘電体材料の所望の累積量を除去するために時間をかけて動作モードを繰り返し循環するように操作する。

Description

関連出願の相互参照
本出願は、「低K及びその他の誘電体膜をエッチングするための処理チャンバ」と題され、2011年10月27日に出願された米国仮出願第61/552,183号の利益を主張し、その内容のすべてをすべての目的のために参照によりここに援用する。
本発明の実施形態は、マイクロ電子デバイス処理の分野に関し、特に低k誘電体膜のプラズマエッチングに関する。
従来の技術の説明
半導体製造においては、低k誘電体は二酸化ケイ素に対して小さな誘電率を有する材料である。低k誘電体材料の実装は、マイクロ電子デバイスの継続的なスケーリングを可能にするために使用されるいくつかの戦略のうちの一つである。デジタル回路では、絶縁誘電体は、導電性部品(例えば、ワイヤ相互接続及びトランジスタ)を互いから絶縁する。構成要素がスケーリングされ、トランジスタが互いにより近くに移動するにつれて、絶縁性誘電体は、電荷の蓄積及びクロストークがデバイスの性能に悪影響を与える点まで薄くなってきている。二酸化ケイ素を同じ厚さの低k誘電体と交換することによって寄生容量が低減し、より速いスイッチング速度とより低い熱放散を可能にする。
しかしながら、このような膜の処理、特にこのような膜のエッチングは、材料に損傷を与える及び/又は材料を不安定にする又はそうでなくともデバイス製造のために不適当にすることが見出されているので、低k誘電体処理技術の発展には大幅な改善が必要とされる。
本発明の実施形態は、添付図面の図において、限定ではなく例として示される。
本発明の一実施形態に係る、単一のプラズマエッチングチャンバで低k誘電体膜をエッチングするためのマルチ動作モードエッチング処理を示すフロー図である。 本発明の一実施形態に係る、エッチングチャンバが、図1に示されるエッチング処理によって利用される複数のモードで動作することができる方法を更に示すフロー図である。 本発明の一実施形態に係る、処理に曝される典型的なワークピースへのマルチ動作モードエッチング処理100の方法の作用を表す断面図を示す。 一実施形態に係る、図1に示されるマルチ動作モードエッチング処理を実行する1以上のエッチングチャンバを含むように構成することができるマルチチャンバ処理プラットホームの平面図である。 一実施形態に係る、図1に示されたマルチ動作モードエッチング処理を実行するために、エッチングチャンバ内で利用することができるデュアルゾーンシャワーヘッドの切り欠き斜視図を示す。 本発明の実施形態に係る、図5Aの切り欠き斜視図の拡大された部分を示す。 一実施形態に係る、図1に示されたエッチング処理の改質操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理のエッチング操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理の堆積操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理の改質操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理の改質操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理のエッチング操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理の堆積操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理の改質操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理のエッチング操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示されたエッチング処理の堆積操作を実行するように構成されたエッチングチャンバの断面図を示す。 一実施形態に係る、図1に示された様々な操作を実行するように構成されたエッチングチャンバの断面図を示す。
詳細な説明
概して、本明細書内に記載されるプラズマエッチング方法の実施形態は、低k(及び他の誘電体)材料をエッチングし、エッチングされた膜の残りの部分を良好な状態で残すために損傷機構を利用する。本明細書内に記載されるプラズマエッチング方法の実施形態は、周期的に少なくとも2つの別個のプラズマベースの操作を真空中で(すなわち、真空を破ることなく)行い、好ましくは、最大スループットの利点のために同じチャンバ内で行う。これらの操作のうちの1つの間に、異方性(指向性)プラズマは、エッチングされる誘電体膜の一部のバルク構造及び/又は組成を改質し、これによって二酸化ケイ素(SiO)又はシリコン亜酸化物(SiO)のようにする。この膜改質操作は、第1プラズマ条件で誘電体膜の一部に制御可能にかつ選択的に損傷を与えるものとして概念化することができる。これらの操作のうちの第2の操作の間に、等方性(無指向性)条件は、バルク特性を有する下地絶縁膜上に選択的に(改質された構造又は組成を有する)改質膜部分を除去する。これらの操作は、順次繰り返し実行し、これによって任意の所望の膜除去累積量を達成する(すなわち、所望のエッチング深さを達成する)ことができる。バルク膜のエッチングを2つの別個の操作又は動作モードに分離しているが、プラズマ条件の設計、並びにこれらの条件を提供するためのエッチングチャンバの設計は、大幅により大きな自由度及び/又はより大きなプロセスウィンドウを有する。
誘電体膜のエッチング処理の少なくともこれらの2つの別々の動作モードへの分離はまた、有利なことにエッチングされた構造に隣接する領域内の誘電体膜の組成をほとんど変えることなく(例えば、側壁はプラズマエッチングへの曝露を通して悪影響を受けない)、低k又は他の誘電体膜内への異方性プロファイルのエッチングを可能にするエッチングパラメータの制御のレベルを提供する。この正確な制御の重要な源は、本質的に高度に化学的である等方性エッチング条件から生じ、こうして、(例えば、ある程度の炭素を組み込んだ)SiOの特性から逸脱したバルク特性を有する下地の誘電体の間に非常に高い選択性を提供する。2つの材料組成間の高い選択性は、第1材料層が消費された後、しばしば、エッチングを停止するために(例えば、エッチング可能でない組成を有する下地のエッチング停止層によって、エッチング可能な組成を有する層のエッチングを終了させる手段として多重材料堆積膜スタック内で)利用されているが、本明細書内の技術は、バルク膜自体への高い選択性のエッチング処理によって、バルク膜を介して徐々にエッチングする。
実施形態では、マルチ動作モードエッチング処理は、完全にフッ素を含まない。従来の誘電体のエッチングは、異方性を達成するために、エッチングされた誘電体層の側壁上に堆積されたCFポリマーに依存してエッチングしていたが、本明細書内の方法は、膜エッチング処理(モード)の高い選択性と組み合わせた膜改質処理(モード)の異方性によって、エッチングの異方性を達成している。典型的なフルオロカーボン系(CxFyベース)のエッチング及び付随するCFポリマーの回避は、任意のパッシベーションポリマーのエッチングされた誘電体表面を比較的きれいにする。このように、(例えば、膜中の炭素種の酸化によって)誘電体を損傷する可能性のあるプラズマ又は他の手段によるエッチング後処理(PET)を回避することができる。
エッチング方法のより詳細な説明、このような方法がどのようにして単一チャンバ内で実行可能であるのか、このようなエッチング方法の実施形態を実行するために使用されるチャンバハードウェアが、ここで提供される。図1は、本発明の一実施形態に係る、単一のプラズマエッチングチャンバによって低k誘電体膜をエッチングするためのマルチ動作モードエッチング処理100を示すフロー図である。図3A〜図3Fは、本発明の一実施形態に係る、マルチ動作モードエッチング処理100の方法の、処理に曝される例示的なワークピースへの効果を表す断面図を示す。
操作105で開始し、ワークピースは、プラズマ処理チャンバ内にロードされる。ワークピースは、一般に、任意の形態をとることができるが、図2Aに図示される例示的な実施形態では、ワークピースは、エッチングされる誘電体が上部に配置される基板302を含む。基板302は、製造工程に耐える好適な任意の材料であることができ、マイクロ電子デバイス層(例えば、ICデバイス、光学デバイス、MEMSデバイス、又は同様のマイクロ/ナノ製造デバイス用のもの)を配置し、及び/又は、形成することができるための土台として役立つ。本発明の一実施形態によれば、基板302は、例えば、結晶シリコン、ゲルマニウム又はシリコン/ゲルマニウムが挙げられるが、これらに限定されないIV族系材料で構成される。具体的な一実施形態では、基板302は単結晶シリコン基板である。別の一実施形態では、基板302はIII−V族材料から構成される。別の一実施形態では、複数の能動素子が、基板302として画定された領域内に配置される。
ワークピースは、エッチングされる露出した誘電体を更に含む。図1及び図3A〜3Fに図示された例示的な実施形態では、露出した誘電体は、低k材料であるが、より一般的には、二酸化ケイ素ではなく、本明細書内に記載される機構によって酸化ケイ素(SiO)のような材料に改質可能である任意の材料であることができる。図3Aに図示される例示的な実施形態では、低k誘電体層304は、二酸化ケイ素の誘電率よりも小さい誘電率を有する(例えば、約3.9未満)。更なる一実施形態では、低k誘電体層304は、例えば、フッ素ドープ二酸化ケイ素、炭素ドープ二酸化ケイ素、多孔質二酸化ケイ素、多孔質炭素ドープ二酸化ケイ素、スピンオンシリコーンベースポリマー誘電体、又はスピンオン有機ポリマー誘電体が挙げられるが、これらに限定されない材料である。例示的な一実施形態によれば、低k誘電体層304は、2.7未満のバルク誘電定数を有する多孔質SiCOH層である。
マルチ動作モードエッチング処理100は、例えば、下地のトポグラフィが低k誘電体層(例えば、低kスペーサエッチング)内で構造を形成するために利用されるエッチングにおいて、非マスクのエッチングにも適用可能であるが、例示の実施形態では、低k誘電体層304は、(例えば、ビア又はトレンチのエッチングのために)マスクされる。図3Aに図示されるように、マスク層306は、低k誘電体層304の一部の上に配置されたフォトレジスト層又はハードマスク層である。フォトレジストは、当該技術分野で公知のいずれであってもよい(例えば、193、EUVなど)。同様に、マスク層306がハードマスクである場合、SiOエッチング処理に対して所望の選択性を提供することができる当該技術分野で公知の任意の材料を利用することができる。代表的な材料としては、アモルファスカーボン(例えば、APF(商標名))、シリコン又は金属(例えば、チタン、タンタル)の窒化物、シリコン又は金属の炭化物などが挙げられる。
図1に戻ると、操作110では、ワークピースの露出した部分が、イオン束に衝突され、これによって露出した材料層の特性を改質し、より具体的には、低k膜の上部厚さ内の炭素含有量を減少させる。イオン束は、マスクの下にある領域が束に曝露されないように異方性であるのが好ましい。イオン束は、低イオンエネルギーを有する原子又は分子種の1以上の種類のものであることができる。このように、有利な一実施形態では、種は、低k材料内の成分を機械的にミーリング除去(例えば、メチル基をノックオフ)することができ、それらと化学的に反応するのではない。したがって、イオン束は、ターゲット成分との化学的反応性が比較的低い原料ガスを起源とすることができる。典型的なイオン種は、ヘリウムイオン、ネオンイオン、キセノンイオン、窒素イオン、又はアルゴンイオンであり、イオン束のエネルギーレベルを下げるような非常に低いプラズマDCバイアスを供給できるように、低いイオン化電位(例えば、2−4eV)を有するので、Arは好まれる。更にイオン束エネルギーを調整するために、ネオン及びヘリウムのような電気陽性な希釈剤をアルゴン環境に添加することもできる。処理圧力は、有利には10ミリトール未満であり、より高い方向性とより有利なことには5ミリトール未満である。50W〜100W程度の低RF電力は、供給ガスのイオン化電位に応じて、二酸化ケイ素マトリックスから炭素種をたたき出すことによって、低k誘電体膜を改質するためには有利であることが見出されている。
図3Bは、ワークピースへの操作110の効果を示す。図示されるように、イオン束307は、低k誘電体層304の改質部分308を形成する。一実施形態では、改質部分308は、炭素が枯渇しており、したがって、低k誘電体層304のバルクの未改質部分308に対してSiOが豊富にある。改質部分308の膜密度及び形態もまた、低k誘電体層304に関連して変えることができる。例えば、改質部分308は、操作110の間、イオン衝撃によって緻密化又はそうでなければ機械的に損傷を受ける(例えば、粗くされる)場合がある。イオン束に応じて、改質部分308の深さは、50Å以下に達する場合がある。
図1に戻って、操作120では、下地のバルク(又は図3C内の低k誘電体層304の未改質部分304B)の上で低k誘電体層のSiOの豊富な改質部分を選択的に除去するために、ドライエッチング処理が利用される。除去される改質部分が低k誘電体膜中の分子成分の寸法程度であるので、エッチング操作120は、原子層エッチング又は分子レベルエッチング(MLE)であると考えることができる。一実施形態では、操作120は、少なくとも三フッ化窒素(NF)と水素源(例えば、アンモニア(NH)又は水蒸気(HO))から生成されたプラズマを伴い、これによって反応性エッチング種NHF及び/又はNHF・HFを生成する。更なる一実施形態では、水蒸気(HO)がNF及びNHと共に供給され、これによって操作120でのSiOのエッチング速度を更に高める。非反応性ガス(例えば、He)もまた、操作120の間に使用してもよい。
別の一実施形態では、エッチング処理100は、siconi型のエッチング技術を採用し、これは譲受人に譲渡された米国特許出願第12/620,806号に更により詳細に記載されており、操作120の間に実行することができる二段階機構を伴う。本実施形態では、水蒸気(HO)と薄い固体ケイ酸塩エッチング副生成物(例えば、(NHSiF)が低い第1ワークピース温度(例えば、30℃)で形成され、その後より高い第2ワークピース温度(例えば、100℃)でケイ酸塩がワークピースから昇華する。しかしながら、特定の実施形態では、例えば、より高いエッチング速度が望まれる場合は、siconiエッチングが、一定の高いワークピース温度で実行される。基板温度を循環させるオーバーヘッドなしに、エッチング処理100は、より高いエッチング速度のために、より迅速に循環させることができる。好ましくは、操作120での一定のワークピース温度は、約80℃〜100℃の間である。ハードマスク及び方法100のマスクされない実施形態に対してより高い温度が可能であるが、フォトレジストを使用する実施形態に対して操作120での最大一定ワークピース温度は、網状化を回避するように約120℃未満である。特定の実施形態では、操作110及び120の両方が、一定の高い温度で実行され、これによってワークピース温度のサイクルに関連するオーバーヘッドを回避する。
図1に戻ると、エッチング処理コントローラは、エッチング処理終了基準が、操作120の完了後に満たされるかどうかを判断する。エッチング処理終了基準は、処理時間、終点信号(光学的又はその他)等に基づくことができる、エッチング処理終了基準が満たされた場合、処理100は完了し、ワークピースはチャンバ150からアンロードされる。エッチング処理終了基準がまだ満たされていない場合、操作110に戻ることによって後続の反復が開始される。
更なる一実施形態では、低温コンフォーマルシリコンベースの誘電体層が、操作130でワークピースの上に堆積される。堆積操作130は、エッチング処理100の間に周期的に実行することができ、これによって例えば、理想的に衝突の無い輸送モードではないイオン束の関数として完全には異方性ではない改質操作から生じるプロファイルのアンダーカット又は曲りに対抗する。図1に図示されるように、堆積操作130は、エッチングサイクルカウント閾値が満たされた条件でのみ実行され、ここで各エッチングサイクルは、操作110及び120の両方の単一の性能を伴う。このように、所定の割合又はデューティサイクルでエッチング及び堆積操作を共に交互に配置する「マルチX」周期的処理のために、堆積操作130は、エッチングサイクル毎に(エッチングサイクルカウント閾値は1)、又はいくらか少ない割合で(エッチングサイクルカウント閾値は1より大きい)実行してもよい。
図3Dに更に図示されるように、堆積操作130は、少なくともエッチング操作120によって露出されたバルク低k誘電体304Bの側壁上に形成されている保護層312を形成する。保護層312の厚さは、操作130がエッチング操作120に対して実行される頻度に依存して幅広く変化させることができる。一般に、堆積操作130は、側壁の被覆を確実にするためにコンフォーマル堆積処理を伴う。実施形態において、コンフォーマル堆積処理は、上層のマスク材料(例えば、フォトレジスト)を保存するように低い温度(例えば、130℃未満)である。一実施形態では、保護層312は、二酸化ケイ素である。しかしながら、有利な一実施形態では、保護層312は、炭素ドープ酸化ケイ素である。炭素ドープ層の堆積は、エッチング操作120に対して保護層130の抵抗を有利にも増加させることができ、これによってエッチング操作120を介した後続の反復は、完全には保護層130を除去せず、特にトレンチ310の側壁からは完全には除去しない。更に別の一実施形態では、保護層312は窒化ケイ素である。保護層130がエッチング操作120に選択性を提供する炭素ドープ及び窒化の実施形態では、エッチングサイクルカウント閾値は、エッチングに費やされる処理100の大部分で高くすることができ、全体的な低k誘電体のエッチング速度は増加する。
実施形態に応じて、任意の一般的に知られているシリコン前駆体は、操作130で使用することができ、例えば、四フッ化ケイ素(SiF)、四塩化ケイ素(SiCl)、シラン(SiH)、又は任意の一般的に知られるケイ素含有炭化前駆体(例えば、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルジシロキサン(TMDSO)、テトラメチルシクロテトラシロキサン(TMCTS)、テトラメチル−ジエトキシ−ジシロキサン(TMDDSO)、ジメチル−ジメトキシル−シラン(DMDMS)が挙げられるが、これらに限定されない)が挙げられるが、これらに限定されない。保護層が窒化物であることができる更なる実施形態では、前駆体(例えば、トリシリルアミン(TSA)及びジシリルアミン(DSA)が挙げられるが、これらに限定されない)を使用することができる。これらの原料のいずれも、PECVD処理において、酸素ラジカル源(例えば、酸素(O)、オゾン(O)、二酸化炭素(CO)又は水(HO)が挙げられるが、これらに限定されない)と反応することができる。
操作130に続いて、操作110に戻ることによって後続の反復が実行される。このように、図3E及び3Fに更に示されるように、エッチングの前面がターゲット膜を通して徐々に進められ、これによって漸進的により深いトレンチ210Bを形成する。
図2は、エッチングチャンバが、エッチング処理100の複数のモードで動作することができる方法を更に示すフロー図である。方法200は、操作205でチャンバ内にワークピースを受け取ることから始まる。イオンミーリングプラズマは、ワークピースに最も近いシャワーヘッドの下に配置されたチャンバの第1領域内で励起される。RF源は、ワークピース上にDCバイアス電位を提供し、これによって改質操作110のために本明細書内の他の箇所に記載のイオン束を生成する。実施形態では、RF源は、ワークピースが上で支持される台座又はチャックを介して容量結合され、これによってワークピースのすぐ上の第1チャンバ領域内でプラズマを生成する。このような一実施形態では、容量結合プラズマ(CCP)はチャックから始まり(すなわち、チャックはRF駆動され)、ワークピースに最も近いシャワーヘッドは、RFリターンパスを(すなわち、陽極として)提供する。
操作320の間、SiOエッチングプラズマは、チャンバの第2領域内で励起され、これによってイオン束をワークピースへ誘導する方法でワークピースにバイアスを掛けるのを最小化又は回避する。一実施形態では、エッチング操作320を本質的に高度に化学的にするために、第2チャンバ領域は、ワークピースに最も近いシャワーヘッドの上方に配置され、したがって操作310の間に生成されるイオンミーリングプラズマよりもワークピースから相対的により遠くに配置される。一実施形態では、台座又はチャックは、操作320の間、RF給電されず、これによってワークピースバイアス電位を最小化する。リモート及び/又はソフトイオン化技術が操作320で使用され、これによってワークピース上に大きなバイアス電位を形成することなく、本明細書内の他の箇所に記載されるエッチング操作120のための反応種を形成する。このような一実施形態では、ワークピースに最も近いシャワーヘッドまで又はシャワーヘッドから、ウェハからシャワーヘッドの反対側に配置された電極から又は電極まで(例えば、ワークピースに最も近いシャワーヘッドの上方の電極から又は電極まで)、第2CCPが始まる。別の一実施形態では、DC放電は、エッチング操作120中のソフトイオン化のための電子源として用いられる。一代替実施形態では、リモートプラズマ源(RPS)が、チャンバの第2領域内にプラズマを形成するために使用される。更に別の一実施形態では、誘導結合プラズマ(ICP)が、チャンバの第2領域内にプラズマを形成するために使用される。これらの実施形態の各々のためのエッチングチャンバハードウェア構成は、本明細書内の他の箇所に更に記載されている。
保護層を堆積する(例えば、図1の操作130)実施形態では、酸化プラズマがチャンバのリモート第2領域内で生成され、ケイ素(及び炭素)含有前駆体がチャンバ内に(例えば、第1チャンバ領域内に)導入され、これによってワークピースへと運ばれた酸化種と反応する。このように、プラズマエッチングチャンバの第1領域及び第1動作モードは、低k誘電体膜の部分的な厚さを改質するために利用することができ、プラズマエッチングチャンバの第2領域及び第2動作モードは、低k誘電体膜の改質された厚さをエッチングするために利用することができる。第2領域は更に、保護層を堆積する第3動作モードで操作することができる。
siconi型処理を利用する実施形態では、siconi型エッチングの2段階は、更にエッチングチャンバの異なる領域内で始められ、生成された2つの異なるプラズマを伴うことができる。例えば、siconi型処理を実行するために、第1及び第2チャンバ領域の両方を利用してもよく、siconi型処理を実行するために、第2チャンバ領域及び第3チャンバ領域を使用してもよい。
図4に図示されるように、本明細書内の他の箇所に記載されるよう構成された1以上の低kエッチングチャンバ405が、統合されたプラットホームに結合され、これによってマルチチャンバ処理システムを形成している。マルチ動作モードエッチング処理100に対して記載される1以上の実施形態は、図4に図示されるマルチチャンバシステム内の低kエッチングチャンバ405の各々によって実行することができる。図4を参照すると、マルチチャンバ処理プラットホーム400は、複数の処理モードを同時に適応制御可能な当該技術分野で公知の任意のプラットホームであることが可能である。典型的な実施形態は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社(Applied Materials, Inc.)から市販されているOpus(商標名)AdvantEdge(商標名)システム、Producer(商標名)システム、又はCentura(商標名)システムを含む。
処理プラットホーム400は、本明細書内に記載されるエッチング処理の任意の適応制御を可能にする制御信号を提供するための統合計測(IM)チャンバ425を更に含むことができる。IMチャンバ425は、様々な膜特性(例えば、厚さ、粗さ、組成)を測定するために当該技術分野で公知の任意の計測を含むことができ、更に、例えば、限界寸法(CD)、側壁角(SWA)、構造高さ(HT)などの格子パラメータを真空化で自動化された方法で特徴づけることが可能である場合がある。図4に更に図示されるように、マルチチャンバ処理プラットホーム400は、ロボットハンドラ450を有する搬送チャンバ401に結合された前面開口統一ポッド(FOUP)435及び445を保持するロードロックチャンバ430を更に含む。
低kエッチングチャンバ405内で実行されるエッチング処理は、処理100の各サイクルを反復的に推進するので、低kエッチングチャンバ405は、RF源を異なる電極に結合するリレーを作動させることによって、及び/又は動作モード間で調節するために異なる電極に分離して結合された異なるRF源を動作させることによって、処理200を介して自動的に循環することができる。このような低kエッチングチャンバ405の制御は、1以上のコントローラ470によって提供可能である。コントローラ470は、様々なサブプロセッサ及びサブコントローラを制御する工業環境で使用可能な汎用データ処理システムの任意の形態のうちの1つであることができる。一般に、コントローラ470は、一般的な構成要素の中でもとりわけメモリ473及び入力/出力(I/O)回路474と通信する中央処理装置(CPU)472を含む。CPU472によって実行されるソフトウェア命令は、マルチチャンバ処理プラットホーム400に、例えば、低kエッチングチャンバ405内に基板をロードさせ、マルチ動作モードエッチング処理200を実行させ、低kエッチングチャンバ405から基板をアンロードさせる。当該技術分野で知られるように、ロボットハンドラ450又はロードロックチャンバ430の追加のコントローラが、複数の低kエッチングチャンバ405の統合を管理するために提供される。
本明細書内の他の箇所に詳細に記載されたエッチング処理チャンバのうちの1以上は、ワークピースへの流体(反応種、ガスなど)の分配及び輸送のための従来のシャワーヘッド又は「デュアルゾーン」シャワーヘッド(DZSH)のいずれかを使用することができる。DZSHの詳細な説明は、譲受人に譲渡された米国特許出願第12/836,726号に見出すことができるが、図5A及び図5Bは、マルチ動作モードプラズマエッチングチャンバの特定の実施形態で有利に活用することができるDZSH500のいくつかの構成を示す。図5Aは、DZSHの切り欠き斜視図であり、図5Bは、図5Aの切り欠き斜視図の拡大部分を示す。図示のように、DZSH500は、複数の第1開口部514を有する上部マニホルド510と、複数の第2開口部524を有する下部マニホルド530を含む。第1流体の流れFは、DZSH500の下に配置された処理領域に入る前に、開口部514、マニホルド中心の第2開口部524、及び底部マニホルド530内の第2開口部534を介してシャワーヘッドを通る。第2流体の流れFは、チャネルネットワークを介して1以上の第2ガスチャネル538へ、及び開口部542を介して処理領域へ送出される。第1流体及び第2流体は、処理領域内へそれぞれ送出されるまでDZSH内で互いに分離されている。このように、第1流体は、励起状態で(例えば、ラジカル又はイオン種として)供給することができ、一方第2流体は、未反応及び/又は非励起状態で供給することができる。
一実施形態では、プラズマエッチングチャンバは、DZSHに結合されたプラズマ源を含む。一実施形態では、「Siconiエッチング」源を、(アプライドマテリアルズ社から市販されている)Siconiエッチング/プレクリーンチャンバから使用することができ、これによって本明細書内に記載される複数の動作モードチャンバのための少なくとも1つのプラズマを提供する。例えば、Siconiエッチング源は、イオンミーリング操作(例えば、図1の110)を実施する第1容量プラズマ源のうちの少なくとも1つと、エッチング操作(例えば、図1の120)及び/又は本明細書内に記載されるオプションの堆積操作(例えば、図1の130)を実施する第2容量結合プラズマ源を提供することができる。
図6A、6B及び6Cは、一実施形態に係る、エッチング処理100(図1)内の各操作を実行するために、複数の動作モード(状態)に構成されたエッチングチャンバの断面図を示す。一般に、エッチングチャンバ601は、イオンミーリング操作を実施するための第1容量結合プラズマ源と、エッチング操作及びオプションの堆積操作を実施するための第2容量結合プラズマ源を含む。図6Aは、一実施形態に係る、改質操作110(図1)を実行するように構成されたエッチングチャンバ601の断面図を示す。エッチングチャンバ601は、チャック650を囲む接地されたチャンバ壁640を有する。実施形態では、チャック650は、処理中にチャック650の上面にワークピース302をクランプする静電チャック(ESC)であるが、当該技術分野で公知の他のクランプ機構もまた使用可能である。
チャック650は、埋設された熱交換器コイル617を含む。例示的な実施形態では、熱交換器コイル617は、1以上の熱伝導流体チャネルを含み、この熱伝導流体チャネルを、熱伝導流体(例えば、エチレングリコール/水混合物、ガルデン(商標名)又はフロリナート(商標名)等)は通過し、これによってチャック650の温度及び究極的にはワークピース302の温度を制御することができる。
チャック650は、高電圧DC電源648に結合されたメッシュ649を含み、これによってメッシュ649は、ワークピース302の静電クランプを実施するDCバイアス電位を運ぶことができる。チャック650は、第1RF電源に結合され、そのような一実施形態では、メッシュ649は第1RF電源に結合され、これによってDC電圧オフセット及びRF電圧電位は共に、チャック650の上面上の薄い誘電体層全面に亘って結合される。例示的な実施形態では、第1RF電源は、第1及び第2RF発生器652、653を含む。RF発生器652、653は、当該技術分野で公知の任意の工業的周波数で動作させることができるが、ある典型的な実施形態では、RF発生器652は、有利な指向性を提供するために、60MHzで動作させる。第2RF発生器653もまた提供される場合は、典型的な周波数は2MHzである。
チャック650がRF給電されることによって、RFリターンパスが第1シャワーヘッド625によって提供される。第1シャワーヘッド625は、チャックの上方に配置され、これによって第1シャワーヘッド625及びチャンバ壁640によって画定された第1チャンバ領域684内に第1供給ガスを分配する。このように、チャック650及び第1シャワーヘッド625は、第1チャンバ領域684内で第1供給ガスの第1プラズマ670に容量的に電力供給する第1RF結合電極対を形成する。RF給電されたチャックの容量結合に起因するDCプラズマバイアス(すなわち、RFバイアス)は、第1プラズマ670からワークピース302までイオン束(例えば、第1供給ガスがArの場合は、Arイオン)を生成し、これによってイオンミーリングプラズマを提供する(例えば、図2の操作220)。第1シャワーヘッド625は、接地されるか、又はチャック650の周波数(例えば13.56MHz又は60MHz)以外の周波数で動作可能な1以上の発生器を有するRF源628に交互に結合することができる。図示の実施形態では、第1シャワーヘッド625は、例えば、コントローラ420によって、エッチング処理中に自動的に制御することができるリレー627を介して接地又はRF供給源628に選択的に結合される。
図6Aに更に図示されるように、エッチングチャンバ601は、低処理圧力で高いスループットが可能なポンプスタックを含む。実施形態では、少なくとも1つのターボ分子ポンプ665、666が、ゲートバルブ660を介して第1チャンバ領域684に結合され、第1シャワーヘッド625と対向するチャック650の下方に配置される。ターボ分子ポンプ665、666は、適切なスループットを有する任意の市販のものであることができ、特に、第1供給ガスを所望の流量(例えば、Arを50〜500sccm)で、10ミリトール未満、好ましくは、5ミリトール未満に処理圧力を適切に維持するような大きさのものであることができる。図6Aに図示される実施形態では、チャック650は、2つのターボ分子ポンプ665及び666の間でセンタリングされた台座の一部を形成するが、代替の構成では、チャック650は、チャック650の中心と整列された中心を有する単一のターボ分子ポンプによってチャンバ壁640から片持ち支持された台座上にあってもよい。
第2シャワーヘッド610は、第1シャワーヘッド625の上方に配置される。一実施形態では、処理中に、第1供給ガス源(例えば、アルゴンボトル690)は、ガス入口676に結合され、第1供給ガスは、第2シャワーヘッド610を貫通して延びる複数の開口部680を通って第2チャンバ領域681内へ流され、第1シャワーヘッド625を貫通して延びる複数の開口部682を通って第1チャンバ領域684内へ流される。開口部678を有する追加の流れ分配器615が、エッチングチャンバ601の直径全域に亘って第1供給ガス流616を更に分配してもよい。一代替実施形態では、第1供給ガスは、第2チャンバ領域681から分離した開口部683を介して第1チャンバ領域684内に直接流入する(破線623で示される)。例えば、第1シャワーヘッドがDZSHである場合、開口部683は、図5Bの開口部542に対応している。
図6Bは、一実施形態に係る、図1のエッチング操作120を実行するために、図6Aに示された状態から再構成されたエッチングチャンバ601の断面図を示す。図示されるように、二次電極605は、第1シャワーヘッド625の上方に配置され、第2チャンバ領域681をその間に有する。二次電極605は、更に、エッチングチャンバ601の蓋を形成してもよい。二次電極605及び第1シャワーヘッド625は、誘電体リング620によって電気的に分離しており、第2RF結合電極対を形成し、これによって第2チャンバ領域681内で第2供給ガスの第2プラズマ691を容量放電する。有利なことには、第2プラズマ691は、チャック650上に大きなRFバイアス電位を供給しない。図6Bに図示されるように、第2RF結合電極対の少なくとも一方の電極が、(図1のエッチング操作120中に)図2の操作220において、エッチングプラズマを励起するためのRF源に結合される。二次電極605は、第2シャワーヘッド610に電気的に結合される。好ましい一実施形態では、第1シャワーヘッド625は接地面に結合されるか、又は浮いており、動作のイオンミーリングモードの間にRF電源628によって第1シャワーヘッド625を給電可能にもするリレー627を介して接地に結合することができる。第1シャワーヘッド625が接地される場合、例えば、13.56MHz又は60MHzで動作する1以上のRF発生器を有するRF電源608は、他の動作モードの間(例えば、イオンミーリング操作110の間)、第1シャワーヘッド625が給電されるならば二次電極605を浮いたままにしておくこともできるのだが、二次電極605を接地可能にもするリレー607を介して二次電極605に結合される。
第2供給ガス源(例えば、NFボトル691)及び水素源(例えば、NHボトル692)は、ガス入口676に結合される。このモードでは、第2供給ガスが第2シャワーヘッド610を通って流れ、第2チャンバ領域681内で励起される。その後、反応種(例えば、NHF)が、第1チャンバ領域684に入り、ワークピース302と反応する。更に図示されるように、第1シャワーヘッド625がDZSHである実施形態では、第2プラズマ691によって生成された反応種と反応させるために、1以上の供給ガスを供給してもよい。そのような一実施形態では、水源693を複数の開口部683に結合することができる。
一実施形態では、チャック650は、第1シャワーヘッド625に垂直な方向に距離ΔHに沿って移動可能である。チャック650は、ベローズ655によって囲まれた作動機構などの上にあり、これによって(80℃〜150℃又はそれ以上の高温となる)チャック650とシャワーヘッド625との間の熱伝達を制御する手段として、第1シャワーヘッド625に近付くように、又は第1シャワーヘッド625から遠ざかるように、チャック650を移動することができる。このように、第1シャワーヘッド625に対して所定の第1及び第2位置の間でチャック650を移動させることによって、siconiエッチング処理を実施することができる。あるいはまた、チャック650は、エッチング処理中に第1シャワーヘッド325による加熱を制御するために、距離ΔHだけチャック650の上面からワークピース302を上昇させるリフターを含む。エッチング処理が一定温度(例えば、約90〜110℃)で実行される他の実施形態では、チャック変位機構は避けることができる。
コントローラ420は、自動的に第1及び第2RF結合電極対に交互に電力供給することによって、エッチング処理中に第1及び第2プラズマ690及び691を交互に励起することができる。
図6Cは、一実施形態に係る、図1に示された堆積操作130を実行するように再構成されたエッチングチャンバ601の断面図を示す。図示されるように、第3プラズマ692は、第2プラズマ691に対して記載した方法のいずれかで実施することができるRF放電によって第2チャンバ領域681内で生成される。第1シャワーヘッド625が堆積中に第3プラズマ692を生成するために電力供給される場合、第1シャワーヘッド625は、チャンバ壁に対して電気的に浮くように、誘電体スペーサ630によって接地されたチャンバ壁640から分離される。例示的な実施形態では、酸化剤(O)供給ガス源694がガス入口676に結合される。第1シャワーヘッド625がDZSHである実施形態では、本明細書内の他の箇所に記載されるケイ素含有前駆体の何れか(例えば、OMCTS源695)は、第1チャンバ領域684内に結合され、これによって第2プラズマ692から第1シャワーヘッド625を通過する反応種と反応させることができる。あるいはまた、ケイ素含有前駆体はまた、酸化剤と一緒に、ガス入口676を通って流される。
図7は、一実施形態に係る、改質操作110を実行するように構成されたエッチングチャンバ701の断面図を示す。図示されるように、エッチングチャンバ701は、片持ちのチャック660と、チャック660の中心と整列された中心を有する単一のターボポンプ665を有する。更に図示されるように、第1シャワーヘッド625は接地され、一方、チャック660及び二次電極605は共に、リレー607を介して同一のRF源に結合され、これによって駆動電極をチャック660と二次電極605の間で、イオンミーリングとエッチング操作210及び220の間で交互に行い、これによって改質及びエッチング操作110及び120をそれぞれ実行し、プラズマの位置は、チャンバ601の文脈で説明されたように、第1チャンバ領域684と第2領域681の間で変化する。あるいはまた、RF源608は、独立して、チャック660に電力供給するRF源(例えば、1以上の発生器652及び653)とは独立した二次電極に電力供給し、プラズマの位置は、チャンバ601の文脈で説明されたように、第1チャンバ領域684と第2領域681の間で変化させることができる。
図8Aは、一実施形態に係る、図1に示されたエッチング処理の改質操作110を実行するように構成されたエッチングチャンバ801の断面図を示す。一般に、エッチングチャンバ801は、イオンミーリング操作を実施するための第1容量結合プラズマ源と、エッチング操作を実施するリモートプラズマ源と、オプションで、堆積操作を実施する第2容量結合プラズマ源を含む。
エッチングチャンバ801は、チャック660と対向する第1シャワーヘッド625の上方に配置されたリモートRFプラズマ源823を含む。操作のイオンミーリングモードでは、エッチングチャンバ801は、実質的にエッチングチャンバ601に対して記載したように、第1チャンバ領域684内に容量結合された第1プラズマ670を提供する。図示の実施形態では、チャック660は、第1RF電源(RF発生器652及び653)に結合され、第1シャワーヘッド625は、接地に、又は第1RF電源652、653の周波数以外の周波数で608動作可能な1以上のRF発生器を含む第2RF電源に、リレー607Bを介して選択的に結合される。第1シャワーヘッド625が給電される場合、第1シャワーヘッド625は、チャンバ壁640に対して電気的に浮くように誘電体スペーサ630によって接地されたチャンバ壁640から分離される。第1シャワーヘッド625に電力供給される実施形態では、第2シャワーヘッド610及び二次電極605は、第1シャワーヘッド625と同電位に電気的に接続することができる。
図8Bは、一実施形態に係る、図1に示されたエッチング操作120を実行するために図8Aに示されたものから再構成されたエッチングチャンバ801の断面図を示す。図8Bに示されるように、操作のエッチングモードでは、リモートRFプラズマ源823は、ガス入口824を通して供給された第2供給ガスの第2プラズマ693を放電することができる。例示的な一実施形態では、リモートRFプラズマ源823及び第1シャワーヘッド625は共に、コントローラによって制御可能なリレー607Aを介して同じRF電源821に結合され、これによって第1プラズマ670とリモートプラズマ693に電力を交互に供給する。リモートプラズマ693は、チャック660に大きなRFバイアス電位を掛けることなく生成することができる。好ましい一実施形態では、第1シャワーヘッド625は、接地されるか、又は浮いている。第2供給ガス源691、692(NF、NH)は、ガス注入口824に結合され、反応種(例えば、NHF)がその後第1シャワーヘッド625を通って流れる。本明細書内の他の箇所に記載されるように、追加の流れの分配が、第1シャワーヘッド610及び/又は流れ分配器615によって提供されてもよい。第1シャワーヘッド625がDZSHを含む一実施形態では、水蒸気693が開口部693を通って供給され、これによって開口部682を通って第1チャンバ領域684に入る反応種と反応することができる。
図8Cは、一実施形態に係る、図1に示された堆積操作130を実行するために、図8A及び8Bに示された状態から再構成されたエッチングチャンバ801の断面図を示す。図8Cに示されるように、堆積動作モードの間、チャック660は、給電されないまま(例えば、浮いた状態)にすることができる1以上のRF発生器652、653を含む第1RF電源に結合される。第1シャワーヘッド625は、RF発生器652の周波数(例えば、13.56MHz)以外の周波数であることが可能な1以上のRF発生器608を含む第2RF電源に結合される。誘電体スペーサ630によって接地されたチャンバ壁640から分離され、更に誘電体スペーサ620によって第2シャワーヘッド601から分離された第1シャワーヘッド625によって、第1シャワーヘッド625へのRF電力は、(例えば、酸化原料ガス(O694等)の)第3のプラズマ692を第2チャンバ領域681内で生成することができる。例示的な一実施形態では、第1シャワーヘッド625及びリモートRFプラズマ源823は共に、コントローラ470によって制御可能なリレー607Aを介して同じRF電源821に結合され、これによってエッチングと堆積(例えば、それぞれ図1の操作120と130)の間、第3プラズマ692とリモートプラズマ693に電力を交互に供給する。
コントローラ420は、自動的に2つの電源に交互に電力供給することによって、エッチング処理中に第1プラズマ670及びリモートプラズマ693を交互に励起することができる。コントローラ420は、同様にチャンバ801を堆積モードに置くことができる。
図9Aは、一実施形態に係る、図1に示された改質操作110を実行するように構成されたエッチングチャンバ901の断面図を示す。一般に、エッチングチャンバ901は、イオンミーリング操作を実施するための容量結合プラズマ源と、エッチング操作を実施し、オプションの堆積操作を実施するための電子線源を含む。図9Aに示されるように、実質的に本明細書内の他の箇所に記載されるように、第1供給ガス690を第1チャンバ領域684内に分配するチャック650の上方に配置された第1シャワーヘッド625によって容量放電が提供される。チャック660及び第1シャワーヘッド625は、第1供給ガス(例えばAr)のRFプラズマ670を容量放電する第1RF結合電極対を形成する。
図9Bは、一実施形態に係る、図1に示されたエッチング操作120を実行するように再構成されたエッチングチャンバ901の断面図を示す。図示されるように、高電圧DC電源943が、二次電極605及び第2シャワーヘッド610に結合され、これによって第1シャワーヘッド625の上方に配置されたDC電極対を形成し、これによってDC電極間のチャンバ領域内にDCグロー放電618を発生させる。DC電極対は、誘電体スペーサ620によって第1シャワーヘッド625から電気的に絶縁されている。第1シャワーヘッド625は、更に、誘電体スペーサ630によってチャンバ壁640から分離され、これによって第1シャワーヘッド625の制御を可能にする。
動作時には、二次電極605は、陰極DC電位(例えば、4−8kV)にバイアスされ、一方、第2シャワーヘッド610は、陽極電位(例えば、−100V〜−200V)にバイアスされる。第1供給ガス(例えば、Arボトル690)から生成されたDCグロー放電618からの電子は、第2チャンバ領域681内の開口部680を通過する。第1シャワーヘッド625はまた、DC電源に(例えば、リレーを介して第2シャワーヘッド610に)結合され、二次電極605の陰極電位に対して陽極電位に負にバイアスされる。第1シャワーヘッド625への負のバイアスによって、電子は第1シャワーヘッド625を通って、第1チャンバ領域684内へ通過することができる。第1シャワーヘッド625は、更にこの目的を推進するための大きな穴を有することができる。このように、「電子線」源は、供給ガス(例えば、DZSHの実施形態では、開口部683によって供給されるNF及びNH)を第1チャンバ領域684内でソフトにイオン化する手段であり、これによってワークピース302に大きなバイアスを掛けることなく、反応性エッチング種(例えば、NHFなど)を提供する。
図9Bに更に示されるように、チャック660は、イオンミーリングモードの間、RF源(発生器652及び653)に結合されると同時に、チャック660はまた、エッチング操作と成膜操作のいずれか一方又は両方の間、接地電位又は陰極電位に維持することもできる。制御可能な可変静電チャック電位963は、接地電位と正バイアスの間で供給され、DCグロー放電618からワークピース302までの電子束を制御することができる。更なる一実施形態では、エッチングチャンバ901は、第1シャワーヘッド625とチャック660の間に配置されたシーフ電極947を含む。シーフ電極625は、可変コンデンサ964を介して接地されており、ワークピース305への電子束を更に制御する。図示されるように、シーフ電極947は、第1誘電体スペーサ630によって第1シャワーヘッド625から絶縁され、第2誘電体スペーサ937によって接地されたチャンバ壁640から絶縁された導電リングである。
図9Cは、一実施形態に係る、図1に示された堆積操作130を実行するように再構成エッチングチャンバ901の断面図を示す。エッチング操作120のために使用されるDCD電源又は第2チャンバ領域681内で生成された第2RFプラズマのいずれかが、実質的に本明細書内の他の箇所に記載されるように、保護層のPECVD堆積を行うために用いられる。DC電源が利用される場合、第2シャワーヘッド610から発する電子は、第1シャワーヘッド625及びシリコン含有前駆物質を通過する(例えば、OMCTS695が開口部683を介して供給される)。酸素もまた、開口部683によって供給され、電子束によってイオン化される。
コントローラ420は、自動的に2つの電源に交互に電力供給することによって、エッチング処理中に第1プラズマ670及びDCグロー放電618を交互に励起することができる。コントローラ420は、同様にチャンバ901を堆積モードに置くことができる。
更なる一実施形態では、電子束を用いて堆積保護層のインサイチュー硬化を実行することができ、本質的には電子線硬化型処理を実行する。設置電位と正バイアスの間に設けられた制御可能な可変チャック電位963は、この目的のためにも、DCグロー放電618からワークピース302までの電子束を制御することができる。具体的には、硬化が望まれる場合は、ワークピース302は、接地電位に置くことができ、硬化が望まれない場合は、ワークピース302は、陰極電位に置くことができる。
図10は、一実施形態に係る、図1に示されたエッチング処理100の種々のモードを実行するように構成されたエッチングチャンバ1001の断面図を示す。一般に、エッチングチャンバ1001は、イオンミーリング操作を実行するためのCCPと、エッチング操作を実行し、オプションの堆積操作を実行するための誘導結合プラズマ源(IPS)を含む。
図10に示されるように、第1チャンバ領域684内の改質操作110(図1)のためのCCPプラズマの文脈で前述されたすべてのチャンバの構成要素は、RF電極対を形成するチャック660及び第1シャワーヘッド625と共に再び提供される。一実施形態では、第1シャワーヘッド625は、実質的に本明細書内の他の箇所で説明されたように、電力供給する、電気的に浮遊させる、又は接地することができるDZSHである。エッチング操作(例えば、図1の120)のために、導電コイル1052のセットが発生器608を含むRF源に結合され、これによって当該技術分野で公知の任意の方法で誘導結合プラズマ692を生成する。第1シャワーヘッドのDZSHの実施形態において、大きなサイズの穴と組み合わせたICP源は、誘電蓋1006を貫通して導入される供給ガス(例えば、NF691及びNH692)の効率的なイオン化を可能にする。
コントローラ420は、自動的に2つの電源に交互に電力供給することによって、エッチング処理中に第1プラズマ670及びICPプラズマ692を交互に励起することができる。コントローラ420は、同様にチャンバ1001を堆積モードに置くことができる。
上記の説明は、例示であって限定的なものではないことを意図していることを理解すべきである。更に、詳細に記載されているもの以外の多くの実施形態が、上記の説明を読み、理解することにより、当業者には明らかであろう。本発明は特定の例示的な実施形態を参照して説明したが、本発明は上記実施形態に限定されないことが認識されるであろうが、添付の特許請求の範囲の趣旨及び範囲内で修正及び変更して実施することができる。したがって、本発明の範囲は、そのような特許請求の範囲が権利を与える均等物の全範囲と共に、添付の特許請求の範囲を参照して決定されるべきである。

Claims (15)

  1. エッチング処理中にワークピースを支持するチャックと、
    チャックの上方に配置され、第1チャンバ領域内へ第1供給ガスを分配する第1シャワーヘッドであって、チャック及び第1シャワーヘッドは、第1シャワーヘッドとチャックの間の第1チャンバ領域内に第1供給ガスの第1プラズマを容量的に励起させるための第1RF結合電極対を形成する第1シャワーヘッドと、
    チャックと対向する第1シャワーヘッドの上方に配置される二次電極であって、二次電極と第1シャワーヘッドは、第1シャワーヘッドと二次電極の間の第2チャンバ領域内に第2供給ガスの第2プラズマを容量的に放電するための第2RF結合電極対を形成する二次電極と、
    第1及び第2RF結合電極対に自動的に交互に電力供給することによって、エッチング処理中に第1及び第2プラズマを交互に励起するためのコントローラを含むプラズマエッチングチャンバ。
  2. 二次電極は、第1及び第2供給ガスを第2チャンバ領域内へ分配するための第2シャワーヘッドであり、第1シャワーヘッドは更に、第1供給ガスを、又は第2プラズマからの反応種を、第1チャンバ領域へ導く請求項1記載のプラズマエッチングチャンバ。
  3. チャック及び二次電極は共に、コントローラによって切替可能なリレーを介して同じRF電源に結合されている請求項1記載のプラズマエッチングチャンバ。
  4. チャックは、1以上のRF発生器を含む第1RF電源に結合されており、第1シャワーヘッドは、接地面と、第1RF電源の周波数以外の周波数で動作可能な1以上のRF発生器を含む第2RF電源の両方にリレーを介して選択可能に結合されており、リレーはコントローラによって制御可能である請求項1記載のプラズマエッチングチャンバ。
  5. チャックは、第1シャワーヘッドに対して垂直な方向に移動可能であり、又はチャックは、エッチング処理中に第1シャワーヘッドによるワークピースの加熱を制御するために、チャックからワークピースを上昇させるリフターを含む請求項1記載のプラズマエッチングチャンバ。
  6. 第1シャワーヘッドは、第1及び第2チャンバ領域を流体結合する第1の複数の開口部と、第1チャンバ領域を第2チャンバ領域から分離された流体源に流体結合する第2の複数の開口部とを有するデュアルゾーンシャワーヘッドである請求項1記載のプラズマエッチングチャンバ。
  7. エッチング処理中にワークピースを支持するチャックと、
    チャックの上方に配置され、第1チャンバ領域内へ第1供給ガスを分配する第1シャワーヘッドであって、チャック及び第1シャワーヘッドは、第1シャワーヘッドとチャックの間の第1チャンバ領域内に第1供給ガスの第1プラズマを容量的に励起させ、チャック上にRFバイアス電位を供給するための第1RF結合電極対を形成する第1シャワーヘッドと、
    チャックと対向する第1シャワーヘッドの上方に配置されるリモートRFプラズマ源であって、チャック上にRFバイアス電位を供給することなく、リモートプラズマ源内で第2供給ガスの第2プラズマを放電するためのリモートRFプラズマ源と、
    第1RF結合電極対及びリモートRFプラズマ源に自動的に交互に電力供給することによって、エッチング処理中に第1及び第2プラズマを交互に励起するためのコントローラを含むプラズマエッチングチャンバ。
  8. チャックは、1以上のRF発生器を含む第1RF電源に結合されており、第1シャワーヘッドは、第1RF発生器の周波数とは異なる周波数で動作可能な1以上のRF発生器を含む第2RF電源に結合されており、第1シャワーヘッドは、誘電体スペーサによって接地されたチャンバ壁から絶縁しており、これによってチャンバ壁に対して電気的に浮いている請求項7記載のプラズマエッチングチャンバ。
  9. リモートRFプラズマ源と第1シャワーヘッドの間に配置された第2シャワーヘッドを更に含み、第2シャワーヘッドは、RFプラズマ源によって生成されるエッチング種を分配する請求項7記載のプラズマエッチングチャンバ。
  10. 第1シャワーヘッドは、第1チャンバ領域及びリモートプラズマ源を流体結合する第1の複数の開口部と、第1チャンバ領域をリモートプラズマ源から分離された流体源に流体結合する第2の複数の開口部とを有するデュアルゾーンシャワーヘッドである請求項7記載のプラズマエッチングチャンバ。
  11. エッチング処理中にワークピースを支持するチャックと、
    チャックの上方に配置され、第1チャンバ領域内へ第1供給ガスを分配する第1シャワーヘッドであって、チャック及び第1シャワーヘッドは、第1シャワーヘッドとチャックの間の第1チャンバ領域内に第1供給ガスのRFプラズマを容量的に放電させ、チャック上にRFバイアス電位を供給するための第1RF結合電極対を形成する第1シャワーヘッドと、
    第1シャワーヘッドの上方に配置され、DCプラズマ放電を生成する電極対に結合された高電圧DC電源であって、電極対は誘電体スペーサによって第1シャワーヘッドから電気的に絶縁されており、第1シャワーヘッドはDC電源結合電極の陰極に対して陽極電位に負にバイアスが掛けられている高電圧DC電源と、
    第1RF結合電極対及びDC電源結合電極対に自動的に交互に電力供給することによって、エッチング処理中にRF及びDCプラズマを交互に励起するためのコントローラを含むプラズマエッチングチャンバ。
  12. DC電源結合電極の陽極は、DCプラズマ放電から電子を通過させる開口部を有する第2シャワーヘッドであり、第1シャワーヘッドは、第1供給ガスを導く、又は第1チャンバ領域へ電子を通過させる請求項11記載のプラズマエッチングチャンバ。
  13. チャックは、接地電位と正バイアスの間の制御可能なDC電位を有し、これによってDCプラズマからワークピースまでの電子束を制御する請求項11記載のプラズマエッチングチャンバ。
  14. 第1シャワーヘッドとチャックの間に配置されたシーフ電極を更に含み、シーフ電極は可変コンデンサを介して接地され、これによってDCプラズマからワークピースまでの電子束を制御する請求項13記載のプラズマエッチングチャンバ。
  15. エッチング処理中にワークピースを支持するチャックと、
    チャックの上方に配置され、第1チャンバ領域内へ第1供給ガスを分配する第1シャワーヘッドであって、チャック及び第1シャワーヘッドは、第1シャワーヘッドとチャックの間の第1チャンバ領域内に第1供給ガスのRFプラズマを容量的に放電させ、チャック上にRFバイアス電位を供給するための第1RF結合電極対を形成する第1シャワーヘッドと、
    エッチングチャンバの誘電体チャンバ蓋の上方に配置され、誘電体チャンバ蓋と第1シャワーヘッドの間に配置された第2チャンバ領域内に誘導結合プラズマ放電を生成するためにRF電源に結合された導電コイルと、
    第1RF結合電極対及び導電コイルに自動的に交互に電力供給することによって、エッチング処理中に容量結合及び誘導結合プラズマを交互に励起するためのコントローラを含むプラズマエッチングチャンバ。
JP2014538839A 2011-10-27 2012-10-17 低k及びその他の誘電体膜をエッチングするための処理チャンバ Active JP6438302B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161552183P 2011-10-27 2011-10-27
US61/552,183 2011-10-27
US13/651,074 US9666414B2 (en) 2011-10-27 2012-10-12 Process chamber for etching low k and other dielectric films
US13/651,074 2012-10-12
PCT/US2012/060668 WO2013062831A2 (en) 2011-10-27 2012-10-17 Process chamber for etching low k and other dielectric films

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2017201825A Division JP6787868B2 (ja) 2011-10-27 2017-10-18 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2018144772A Division JP6663457B2 (ja) 2011-10-27 2018-08-01 低k及びその他の誘電体膜をエッチングするための処理チャンバ

Publications (2)

Publication Number Publication Date
JP2014532988A true JP2014532988A (ja) 2014-12-08
JP6438302B2 JP6438302B2 (ja) 2018-12-12

Family

ID=48168761

Family Applications (6)

Application Number Title Priority Date Filing Date
JP2014538839A Active JP6438302B2 (ja) 2011-10-27 2012-10-17 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2017201825A Active JP6787868B2 (ja) 2011-10-27 2017-10-18 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2018144772A Active JP6663457B2 (ja) 2011-10-27 2018-08-01 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2019087346A Active JP6875452B2 (ja) 2011-10-27 2019-05-07 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2020009882A Pending JP2020074452A (ja) 2011-10-27 2020-01-24 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2021121123A Active JP7250857B2 (ja) 2011-10-27 2021-07-23 低k及びその他の誘電体膜をエッチングするための処理チャンバ

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2017201825A Active JP6787868B2 (ja) 2011-10-27 2017-10-18 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2018144772A Active JP6663457B2 (ja) 2011-10-27 2018-08-01 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2019087346A Active JP6875452B2 (ja) 2011-10-27 2019-05-07 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2020009882A Pending JP2020074452A (ja) 2011-10-27 2020-01-24 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2021121123A Active JP7250857B2 (ja) 2011-10-27 2021-07-23 低k及びその他の誘電体膜をエッチングするための処理チャンバ

Country Status (7)

Country Link
US (4) US9666414B2 (ja)
JP (6) JP6438302B2 (ja)
KR (5) KR101962317B1 (ja)
CN (3) CN110289233B (ja)
SG (2) SG11201400561TA (ja)
TW (1) TWI604524B (ja)
WO (1) WO2013062831A2 (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017509167A (ja) * 2014-03-14 2017-03-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス分配プレート熱を使用する温度ランピング
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP2017183688A (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP2017199909A (ja) * 2016-04-29 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation Aleおよび選択的蒸着を用いた基板のエッチング
WO2017199958A1 (ja) * 2016-05-20 2017-11-23 東京エレクトロン株式会社 エッチング方法
JP2018073809A (ja) * 2016-10-04 2018-05-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フロースルー源を有するチャンバ
JP2018082150A (ja) * 2016-10-04 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善したプロファイルを有するデュアルチャネルシャワーヘッド
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
CN109427576A (zh) * 2017-09-04 2019-03-05 东京毅力科创株式会社 蚀刻方法
JP2019526169A (ja) * 2016-06-29 2019-09-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 材料改質とrfパルスを用いた選択的エッチング
JP2020502794A (ja) * 2016-12-14 2020-01-23 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス
JP2020515063A (ja) * 2017-03-17 2020-05-21 東京エレクトロン株式会社 エッチングメトリックを向上させるための表面改質制御
JP2020530201A (ja) * 2017-08-07 2020-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
JP2021515988A (ja) * 2018-03-16 2021-06-24 ラム リサーチ コーポレーションLam Research Corporation 誘電体における高アスペクト比フィーチャのプラズマエッチング化学物質
WO2022138130A1 (ja) * 2020-12-21 2022-06-30 東京エレクトロン株式会社 プラズマ処理装置
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
JP7414593B2 (ja) 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2024053442A1 (ja) * 2022-09-09 2024-03-14 東京エレクトロン株式会社 プラズマ処理装置

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6373150B2 (ja) 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
TWI593015B (zh) * 2014-07-10 2017-07-21 東京威力科創股份有限公司 基板之高精度蝕刻方法
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3136419B1 (en) * 2015-08-31 2018-04-18 Total S.A. Plasma generating apparatus and method of manufacturing patterned devices using spatially resolved plasma processing
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
KR102481166B1 (ko) * 2015-10-30 2022-12-27 삼성전자주식회사 식각 후처리 방법
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
WO2017151383A1 (en) * 2016-02-29 2017-09-08 Tokyo Electron Limited Selective siarc removal
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7447093B2 (ja) * 2018-09-10 2024-03-11 ラム リサーチ コーポレーション 準安定活性ラジカル種を使用する原子層処置プロセス
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7138529B2 (ja) * 2018-09-28 2022-09-16 東京エレクトロン株式会社 エッチング方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
KR20210042653A (ko) * 2019-10-10 2021-04-20 주성엔지니어링(주) 기판 처리 장치
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11195723B1 (en) * 2020-12-11 2021-12-07 Tokyo Electron Limited Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
US20240059616A1 (en) 2020-12-18 2024-02-22 Heraeus Conamic North America Llc Plasma resistant yttrium aluminum oxide chamber components
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158930A (ko) * 2021-05-25 2022-12-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20230402286A1 (en) * 2022-06-10 2023-12-14 Applied Materials, Inc. Method and apparatus for etching a semiconductor substrate in a plasma etch chamber

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63219129A (ja) * 1987-03-06 1988-09-12 Shimadzu Corp ドライエツチング装置
JPH03129821A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体装置の製造方法
JP2007266522A (ja) * 2006-03-30 2007-10-11 Ebara Corp プラズマ処理装置およびそれを用いた加工方法
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2009033080A (ja) * 2006-10-06 2009-02-12 Tokyo Electron Ltd プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20100037822A1 (en) * 2007-03-27 2010-02-18 Canon Anelva Corporation Vacuum processing apparatus
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
JP2011525299A (ja) * 2008-05-09 2011-09-15 アプライド マテリアルズ インコーポレイテッド 流動性誘電体の装置およびプロセス

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH04132219A (ja) * 1990-09-24 1992-05-06 Sony Corp プラズマ処理装置とそれを用いる半導体装置の製造方法
JPH04302426A (ja) * 1991-03-29 1992-10-26 Sony Corp デジタル・エッチング方法
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
JPH06177089A (ja) * 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5895549A (en) * 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US6042826A (en) 1996-11-15 2000-03-28 Health Research, Inc. Method for inducing apoptosis of primary central nervous system B cell lymphomas
JP3940467B2 (ja) * 1997-06-03 2007-07-04 株式会社アルバック 反応性イオンエッチング装置及び方法
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
JP2001035832A (ja) * 1999-07-16 2001-02-09 Canon Inc ドライエッチング方法
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
JP5165825B2 (ja) * 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
JP4718093B2 (ja) * 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
JP3732138B2 (ja) 2001-10-26 2006-01-05 日野自動車株式会社 エンジンの排ガス排出管
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
KR100900587B1 (ko) * 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 기판 처리 방법
JP4231417B2 (ja) 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
JP2006019571A (ja) 2004-07-02 2006-01-19 Toshiba Corp 半導体記憶装置及びその製造方法
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100640950B1 (ko) * 2004-12-29 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR100725037B1 (ko) 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP4862425B2 (ja) * 2006-02-24 2012-01-25 ソニー株式会社 基板処理方法および基板処理装置
KR100864111B1 (ko) * 2006-05-22 2008-10-16 최대규 유도 결합 플라즈마 반응기
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
JP2008050055A (ja) 2006-08-26 2008-03-06 Maakisu:Kk ボトル
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
KR101440415B1 (ko) 2008-07-02 2014-09-17 주식회사 원익아이피에스 진공처리장치
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
CN102931222B (zh) 2011-08-08 2015-05-20 中国科学院微电子研究所 半导体器件及其制造方法
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9325294B2 (en) 2013-03-15 2016-04-26 Resonant Inc. Microwave acoustic wave filters

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63219129A (ja) * 1987-03-06 1988-09-12 Shimadzu Corp ドライエツチング装置
JPH03129821A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体装置の製造方法
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2007266522A (ja) * 2006-03-30 2007-10-11 Ebara Corp プラズマ処理装置およびそれを用いた加工方法
JP2009033080A (ja) * 2006-10-06 2009-02-12 Tokyo Electron Ltd プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
US20100037822A1 (en) * 2007-03-27 2010-02-18 Canon Anelva Corporation Vacuum processing apparatus
JP2011525299A (ja) * 2008-05-09 2011-09-15 アプライド マテリアルズ インコーポレイテッド 流動性誘電体の装置およびプロセス

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017509167A (ja) * 2014-03-14 2017-03-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス分配プレート熱を使用する温度ランピング
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
CN108885990B (zh) * 2016-03-29 2023-06-30 东京毅力科创株式会社 对被处理物进行处理的方法
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP2017183688A (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
US10763123B2 (en) 2016-03-29 2020-09-01 Tokyo Electron Limited Method for processing workpiece
CN108885990A (zh) * 2016-03-29 2018-11-23 东京毅力科创株式会社 对被处理物进行处理的方法
JP2017199909A (ja) * 2016-04-29 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation Aleおよび選択的蒸着を用いた基板のエッチング
CN107464747A (zh) * 2016-04-29 2017-12-12 朗姆研究公司 使用ale和选择性沉积蚀刻衬底
JP7058080B2 (ja) 2016-04-29 2022-04-21 ラム リサーチ コーポレーション Aleおよび選択的蒸着を用いた基板のエッチング
JP2017208510A (ja) * 2016-05-20 2017-11-24 東京エレクトロン株式会社 エッチング方法
WO2017199958A1 (ja) * 2016-05-20 2017-11-23 東京エレクトロン株式会社 エッチング方法
US11462412B2 (en) 2016-05-20 2022-10-04 Tokyo Electron Limited Etching method
US10553442B2 (en) 2016-05-20 2020-02-04 Tokyo Electron Limited Etching method
JP2019526169A (ja) * 2016-06-29 2019-09-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 材料改質とrfパルスを用いた選択的エッチング
JP2018082150A (ja) * 2016-10-04 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善したプロファイルを有するデュアルチャネルシャワーヘッド
JP2018073809A (ja) * 2016-10-04 2018-05-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フロースルー源を有するチャンバ
JP7032082B2 (ja) 2016-10-04 2022-03-08 アプライド マテリアルズ インコーポレイテッド フロースルー源を有するチャンバ
JP7044501B2 (ja) 2016-10-04 2022-03-30 アプライド マテリアルズ インコーポレイテッド 改善したプロファイルを有するデュアルチャネルシャワーヘッド
JP2020502794A (ja) * 2016-12-14 2020-01-23 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス
JP2020515063A (ja) * 2017-03-17 2020-05-21 東京エレクトロン株式会社 エッチングメトリックを向上させるための表面改質制御
JP2020530201A (ja) * 2017-08-07 2020-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7028956B2 (ja) 2017-08-07 2022-03-02 アプライド マテリアルズ インコーポレイテッド プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
JP2019046994A (ja) * 2017-09-04 2019-03-22 東京エレクトロン株式会社 エッチング方法
CN109427576A (zh) * 2017-09-04 2019-03-05 东京毅力科创株式会社 蚀刻方法
CN109427576B (zh) * 2017-09-04 2023-03-10 东京毅力科创株式会社 蚀刻方法
JP2021515988A (ja) * 2018-03-16 2021-06-24 ラム リサーチ コーポレーションLam Research Corporation 誘電体における高アスペクト比フィーチャのプラズマエッチング化学物質
JP7366918B2 (ja) 2018-03-16 2023-10-23 ラム リサーチ コーポレーション 誘電体における高アスペクト比フィーチャのプラズマエッチング化学物質
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
US11955319B2 (en) 2019-11-27 2024-04-09 Applied Materials, Inc. Processing chamber with multiple plasma units
JP7414593B2 (ja) 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022138130A1 (ja) * 2020-12-21 2022-06-30 東京エレクトロン株式会社 プラズマ処理装置
WO2024053442A1 (ja) * 2022-09-09 2024-03-14 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
US10096496B2 (en) 2018-10-09
US11410860B2 (en) 2022-08-09
US9666414B2 (en) 2017-05-30
KR20190033095A (ko) 2019-03-28
KR20140082850A (ko) 2014-07-02
WO2013062831A3 (en) 2013-07-11
CN110289233B (zh) 2023-06-09
KR102346730B1 (ko) 2021-12-31
CN106876264B (zh) 2021-07-20
KR20220083977A (ko) 2022-06-21
TWI604524B (zh) 2017-11-01
US10923367B2 (en) 2021-02-16
JP2021184475A (ja) 2021-12-02
JP2018050055A (ja) 2018-03-29
US20170229325A1 (en) 2017-08-10
JP6875452B2 (ja) 2021-05-26
US20180358244A1 (en) 2018-12-13
CN104011837A (zh) 2014-08-27
US20130105303A1 (en) 2013-05-02
US20210134618A1 (en) 2021-05-06
WO2013062831A2 (en) 2013-05-02
KR102121186B1 (ko) 2020-06-10
JP2020074452A (ja) 2020-05-14
JP6787868B2 (ja) 2020-11-18
KR20210024261A (ko) 2021-03-04
KR20200037451A (ko) 2020-04-08
TW201324613A (zh) 2013-06-16
JP6663457B2 (ja) 2020-03-11
CN106876264A (zh) 2017-06-20
CN104011837B (zh) 2017-04-12
JP6438302B2 (ja) 2018-12-12
SG11201400561TA (en) 2014-08-28
JP2019179921A (ja) 2019-10-17
KR101962317B1 (ko) 2019-03-26
JP2018201031A (ja) 2018-12-20
CN110289233A (zh) 2019-09-27
JP7250857B2 (ja) 2023-04-03
SG10201709011YA (en) 2017-12-28
KR102223704B1 (ko) 2021-03-04

Similar Documents

Publication Publication Date Title
JP7250857B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US20040221797A1 (en) Method of etching high aspect ratio features
KR102354258B1 (ko) 다수의 증착된 반도체 층들의 적층체를 형성하는 방법들
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170227

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170502

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180313

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180801

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181030

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181116

R150 Certificate of patent or registration of utility model

Ref document number: 6438302

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250