KR101962317B1 - 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버 - Google Patents

저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버 Download PDF

Info

Publication number
KR101962317B1
KR101962317B1 KR1020147014069A KR20147014069A KR101962317B1 KR 101962317 B1 KR101962317 B1 KR 101962317B1 KR 1020147014069 A KR1020147014069 A KR 1020147014069A KR 20147014069 A KR20147014069 A KR 20147014069A KR 101962317 B1 KR101962317 B1 KR 101962317B1
Authority
KR
South Korea
Prior art keywords
plasma
showerhead
chamber
chuck
coupled
Prior art date
Application number
KR1020147014069A
Other languages
English (en)
Other versions
KR20140082850A (ko
Inventor
드미트리 루보미르스키
스리니바스 네마니
엘리 이에
세르게이 지. 벨로스토트스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140082850A publication Critical patent/KR20140082850A/ko
Application granted granted Critical
Publication of KR101962317B1 publication Critical patent/KR101962317B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

저-k 유전체 필름 및 다른 유전체 필름의 식각을 위한 방법들 및 프로세스 챔버들이 기술된다. 예를 들어, 방법은 플라즈마 프로세스를 사용하여 저-k 유전체 층의 부분들을 수정하는 단계를 포함한다. 저-k 유전체 층의 수정된 부분들은 저-k 유전체 층의 수정되지 않은 부분들 및 마스크 층 위에서 선택적으로 식각된다. 별개의 플라즈마들을 교번하여 생성하기 위한 다중 챔버 영역들을 갖는 식각 챔버들이 기술된다. 실시예들에서, 일 동작 모드에서 워크피스에 대해 이온 플럭스를 생성하기 위해 제1 전하 결합된 플라즈마 소스가 제공되는 한편, 다른 동작 모드에서 워크피스에 대한 현저한 이온 플럭스 없이 반응성 종 플럭스를 제공하기 위해 2차 플라즈마 소스가 제공된다. 제어기는 유전체 재료의 요구되는 누적량을 제거하기 위해 시간에 걸쳐 반복적으로 동작 모드들을 사이클링하도록 동작한다.

Description

저 K 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버{PROCESS CHAMBER FOR ETCHING LOW K AND OTHER DIELECTRIC FILMS}
본 출원은, 2011년 10월 27일자로 출원되었으며 발명의 명칭이 "Process Chamber for Etching Low K and Other Dielectric Films"인 미국 가출원 번호 제61/552,183호를 우선권으로 주장하며, 상기 미국 가출원의 내용은 모든 목적들을 위해 그 전체가 인용에 의해 본원에 포함된다.
본 발명의 실시예들은 마이크로전자 디바이스 프로세싱 분야에 관련되고, 특히, 저-k 유전체 필름들의 플라즈마 식각에 관련된다.
반도체 제조에서, 저-k 유전체는 실리콘 이산화물에 비하여 작은 유전 상수(dielectric constant)를 갖는 재료이다. 저-k 유전체 재료 구현은 마이크로전자 디바이스들의 연속된 스케일링(scaling)을 가능하게 하기 위해 사용되는 몇 개의 전략들 중 하나이다. 디지털 회로들에서, 절연 유전체(insulating dielectric)들은 전도성 부분(conducting part)들(예를 들어, 와이어 인터커넥트(wire interconnect)들 및 트랜지스터들)을 서로로부터 분리한다. 컴포넌트들이 스케일링되고 트랜지스터들이 서로 더 가까이 이동됨에 따라, 절연 유전체들은 전하 축적(charge build-up) 및 크로스톡(crosstalk)이 디바이스의 성능에 악영향을 주는 정도(point)까지 박막화되었다(thinned). 실리콘 이산화물을 동일한 두께의 저-k 유전체로 대체하는 것은 기생 커패시턴스를 감소시켜서, 보다 빠른 스위칭 속도들 및 보다 낮은 열 방산(heat dissipation)을 가능하게 한다.
그러나, 그러한 필름들의 프로세싱, 특히 그러한 필름들의 식각이 재료들을 손상시키고/손상시키거나 재료들을 불안정하게 또는 그렇지 않으면 디바이스 제조에 부적합하게 만드는 것이 발견되었기 때문에, 저-k 유전체 프로세싱 기술의 진화에 있어서 현저한 개선들이 필요하다.
본 발명의 실시예들은 첨부의 도면들의 도(figure)들에서 제한이 아닌 예로서 도시된다.
도 1은 본 발명의 실시예에 따른, 단일 플라즈마 식각 챔버를 사용하여 저-k 유전체 필름을 식각하기 위한 다중-동작(multi-operational) 모드 식각 프로세스를 도시하는 흐름도이다.
도 2는 실시예에 따른, 도 1에 도시된 식각 프로세스에 의해 사용되는 다중 모드들에서 식각 챔버가 어떻게 동작할 수 있는지를 더 도시하는 흐름도이다.
도 3a, 3b, 3c, 3d, 3e, 및 3f는 본 발명의 실시예에 따른, 프로세스에 노출되는 예시적인 워크피스에 대한 다중-동작 모드 식각 프로세스(100)의 방법의 영향들을 나타내는 단면도들을 도시한다.
도 4는 실시예에 따른, 도 1에 도시된 다중-동작 모드 식각 프로세스를 수행하기 위해 하나 또는 그 초과의 식각 챔버들을 포함하도록 구성될 수 있는 다중-챔버(multi-chambered) 프로세싱 플랫폼의 평면도이다.
도 5a는 실시예에 따른, 도 1에 도시된 다중-동작 모드 식각 프로세스를 수행하기 위해 식각 챔버 내에서 사용될 수 있는 듀얼 존(dual zone) 샤워헤드의 컷아웃 사시도를 도시한다.
도 5b는 본 발명의 실시예들에 따른, 도 5a의 컷아웃 사시도의 확대된 부분을 도시한다.
도 6a는 실시예에 따른, 도 1에 도시된 식각 프로세스의 수정 동작(modification operation)을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 6b는 실시예에 따른, 도 1에 도시된 식각 프로세스의 식각 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 6c는 실시예에 따른, 도 1에 도시된 식각 프로세스의 증착 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 7은 실시예에 따른, 도 1에 도시된 식각 프로세스의 수정 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 8a는 실시예에 따른, 도 1에 도시된 식각 프로세스의 수정 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 8b는 실시예에 따른, 도 1에 도시된 식각 프로세스의 식각 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 8c는 실시예에 따른, 도 1에 도시된 식각 프로세스의 증착 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 9a는 실시예에 따른, 도 1에 도시된 식각 프로세스의 수정 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 9b는 실시예에 따른, 도 1에 도시된 식각 프로세스의 식각 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다.
도 9c는 실시예에 따른, 도 1에 도시된 식각 프로세스의 증착 동작을 수행하도록 구성된 식각 챔버의 단면도를 도시한다. 그리고,
도 10은 실시예에 따른, 도 1에 도시된 다양한 동작들을 수행하도록 구성된 식각 챔버의 단면도를 도시한다 .
일반적으로, 본원에 기술된 플라즈마 식각 방법들의 실시예들은, 저-k (및 다른 유전체) 재료들을 식각하고 그리고 식각된 필름의 나머지(remainder)를 양호한 상태로 남겨 두기 위해, 손상 메커니즘(damage mechanism)들을 레버리지(leverage)한다. 본원에 기술된 플라즈마 식각 방법들의 실시예들은, 진공에서(in-vaccuo)(즉, 진공을 깨뜨리지 않고), 그리고 바람직하게는 최대 처리량의 이점(greatest throughput advantage)을 위해 동일한 챔버에서, 적어도 두 개의 개별적인 플라즈마-기반 동작들을 순환하여(cyclically) 수행한다. 이러한 동작들 중에서 하나의 동작 동안에, 이방성(anisotropic)(지향성(directional)) 플라즈마가, 벌크 구조 및/또는 식각되고 있는 유전체 필름의 일부분의 조성을, 실리콘 이산화물(SiO2), 또는 실리콘 서브-산화물(SiOx)에 더 비슷하게 수정한다. 이러한 필름 수정 동작은 제1 플라즈마 조건들로 유전체 필름의 일부분을 제어가능하게 그리고 선택적으로 손상시키는 것으로서 개념화될 수 있다. 이러한 동작들 중 제2 동작 동안에, 등방성(isotropic)(비(non)-지향성) 조건은 벌크 특성들을 갖는 기저의(underlying) 유전체 필름 위에서 (수정된 구조 또는 조성을 갖는) 수정된 필름 부분을 선택적으로 제거한다. 이러한 동작들은 임의의 요구되는 필름 제거 누적량을 달성하기 위해(즉, 요구되는 식각 깊이를 달성하기 위해) 순차적으로 그리고 반복적으로 수행될 수 있다. 벌크 필름 식각의 두 개의 별개의(distinct) 동작들 또는 동작 모드들로의 이러한 분리를 통해, 이들 조건들을 제공하기 위한 식각 챔버의 설계뿐만 아니라 플라즈마 조건들의 설계는 현저히 더 큰 자유도 및/또는 더 큰 프로세스 윈도우를 갖는다.
유전체 필름 식각 프로세스의 적어도 이러한 두 개의 개별적인 동작 모드들로의 분리는 또한, 식각된 피쳐에 인접한 영역들에서 유전체 필름 조성의 유익하게는 적은(little) 수정으로(예를 들어, 측벽들은 플라즈마 식각에 대한 노출을 통해 부정적으로 영향을 받지 않는다), 저-k 또는 다른 유전체 필름 내에 이방성 프로파일을 식각할 수 있게 하는 식각 파라미터들에 대한 일정한 레벨의 제어(a level of control)를 제공한다. 이러한 정밀 제어의 중요한 소스는, 본질상(in nature) 매우 화학적(highly chemical)이며 그리고 그에 따라 SiO2의 특성으로부터 벗어난(예를 들어, 탄소를 어느 정도 포함하는) 벌크 특성들을 갖는 기저의 유전체 사이에 매우 높은 선택성(selectivity)을 제공하는 등방성 식각 조건으로부터 발생한다. (예를 들어, 다중-재료 증착(multi-material deposited) 필름 스택에서, 식각가능한 조성을 갖는 층의 식각을 식각 불가능한(non-etchable) 조성을 갖는 기저의 식각 정지 층을 이용하여 종료하기 위한 수단으로서의) 두 재료 조성들 사이의 높은 선택성은 제1 재료 층이 소모된 후에 식각을 정지시키기 위해 대개 레버리지되지만, 본원의 기법들은 벌크 필름 그 자체에 대해 높은 선택성을 갖는 식각 프로세스를 이용하여 벌크 필름을 통해 증분적으로(incrementally) 식각한다.
실시예들에서, 다중-동작 모드 식각 프로세스들은 전적으로 무-불화탄소(fluorocarbon-free) 프로세스들이다. 종래의 유전체 식각들이, 식각 이방성을 달성하기 위해, 식각된 유전체 층의 측벽들 상에 증착되는 CF 폴리머에 의존하는 반면, 본원의 방법들은 필름 식각 프로세스 (모드)의 높은 선택성과 조합된 필름 수정 프로세스 (모드)의 이방성에 의해 식각 이방성을 달성한다. 전형적으로 불화탄소-기반(CxFy-기반)인 식각 프로세스 및 이에 수반되는 CF 폴리머의 회피는, 식각된 유전체 표면들을 임의의 패시베이션 폴리머보다 비교적 더 깨끗하게(cleaner) 만든다. 따라서, (예를 들어, 필름 내의 탄소 종의 산화를 통해) 유전체들을 손상시킬 수 있는 플라즈마 또는 다른 수단에 의한 후-식각 처리(post-etch treatment, PET)가 방지될 수 있다.
이제, 식각 방법에 대한 더욱 상세한 설명, 즉, 그러한 방법이 단일 챔버 내에서 어떻게 수행될 수 있는지, 그리고 그러한 식각 방법의 실시예들을 수행하기 위해 챔버 하드웨어가 어떻게 적응될 수 있는지가 제공된다. 먼저 식각 방법의 설명으로 돌아가면, 도 1은 본 발명의 실시예에 따른, 단일 플라즈마 식각 챔버를 이용하여 저-k 유전체 필름을 식각하기 위한 다중-동작 모드 식각 프로세스(100)를 도시하는 흐름도이다. 도 3a-3f는 본 발명의 실시예에 따른, 프로세스에 노출되는 예시적인 워크피스에 대한 다중-동작 모드 식각 프로세스(100)의 방법의 영향들을 나타내는 단면도들을 도시한다.
동작(105)에서 시작하여, 워크피스가 플라즈마 프로세싱 챔버 내에 로딩(loading)된다. 워크피스는 일반적으로 임의의 형태를 취할 수 있으나, 도 3a에 제시된 예시적인 실시예에서, 워크피스는 식각될 유전체가 상부에 배치된 기판(302)을 포함한다. 기판(302)은, 제조 프로세스를 견디기에 적합하며, 이를 테면, IC, 광학(optical), 솔라(solar), MEMs, 또는 유사하게 마이크로/나노 제조된 디바이스들을 위한 것들과 같은, 마이크로전자 디바이스 층들이 배치되고/배치되거나 형성될 수 있는 베이시스(basis)로서 역할을 하는 임의의 재료로 이루어질 수 있다. 본 발명의 실시예에 따르면, 기판(302)은 이를 테면, 결정질 실리콘, 게르마늄 또는 실리콘/게르마늄과 같은, 그러나 이들로 제한되지 않는, IV 족(group IV)-기반 재료로 구성된다. 구체적인 실시예에서, 기판(302)은 단결정질 실리콘 기판이다. 다른 실시예에서, 기판(302)은 III-V 재료로 구성된다. 다른 실시예에서는, 기판(302)으로서 경계표시된(demarked) 영역 내에 복수의 활성 디바이스들이 배치된다.
워크피스는, 식각될, 노출된 유전체를 더 포함한다. 도 1 및 도 3a-3f에 도시된 예시적인 실시예들에서, 노출된 유전체는 저-k 재료이지만, 더 일반적으로는, 실리콘 이산화물이 아니며 그리고 본원에 기술된 메커니즘들에 의해 실리콘 산화물(SiOx)과 더 비슷한 재료로 수정가능한(modifiable), 임의의 재료일 수 있다. 도 3a에 도시된 예시적인 실시예에서, 저-k 유전체층(304)은 실리콘 이산화물의 유전율(permittivity)보다 작은(예를 들어, 대략 3.9보다 작은) 유전율을 갖는다. 추가의 실시예에서, 저-k 유전체 층(304)은 이를 테면, 불소-도핑된(fluorine-doped) 실리콘 이산화물, 탄소-도핑된(carbon-doped) 실리콘 이산화물, 다공성(porous) 실리콘 이산화물, 다공성 탄소-도핑된 실리콘 이산화물, 스핀-온 실리콘 기반 폴리머릭 유전체(spin-on silicone based polymeric dielectric), 또는 스핀-온 유기 폴리머릭 유전체와 같은, 그러나 이들로 제한되지 않는 재료이다. 하나의 예시적인 실시예에 따르면, 저-k 유전체 층(304)은 2.7보다 작은 벌크 유전 상수를 갖는 다공성 SiCOH 층이다.
다중-동작 모드 식각 프로세스(100)는 비마스크(unmasked) 식각들, 예를 들어, 기저의 토포그라피(topography)가 저-k 유전체 층 내에 피쳐들을 형성하기 위해 사용되는 식각들(예를 들어, 저-k 스페이서 식각)에 적용가능하지만, 예시적인 실시예에서, 저-k 유전체 층(304)은 (예를 들어, 비아 또는 트렌치 식각을 위해) 마스크된다. 도 3a에 도시된 바와 같이, 마스크 층(306)은 저-k 유전체 층(304)의 일부분 위에 배치된 포토레지스트 층 또는 하드마스크 층이다. 포토레지스트는 본 기술 분야에서 알려져 있는 임의의 포토레지스트(예를 들어, 193, EUV, 등)일 수 있다. 유사하게, 마스크 층(306)이 하드마스크인 경우, SiOx 식각 프로세스에 대해 요구되는 선택성을 제공할 수 있는 본 기술 분야에 알려져 있는 임의의 재료가 사용될 수 있다. 예시적인 재료들은, 비정질 탄소(예를 들어, APF®), 실리콘 또는 금속들(예를 들어, 티타늄 또는 탄탈륨)의 질화물들, 실리콘 또는 금속의 탄화물(carbide)들, 등을 포함한다.
도 1로 돌아가, 동작(110)에서, 워크피스의 노출된 부분들이 이온 플럭스(ion flux)로 타격되어(bombarded), 노출된 재료 층의 특성들을 수정하고, 더 구체적으로는 저-k 필름의 최상부 두께(top thickness) 내의 탄소 함유량을 감소시킨다. 이온 플럭스는 바람직하게는, 마스크 아래에 놓인 영역들이 플럭스에 노출되지 않도록 이방성이다. 이온 플럭스는 낮은 이온 에너지를 갖는 하나 또는 그 초과의 타입들의 원자 또는 분자 종(species)으로 이루어질 수 있다. 따라서, 하나의 유익한 실시예에서, 이러한 종은 저-k 재료 내의 성분들과 화학적으로 반응하기 보다는 저-k 재료 내의 성분들을 기계적으로 밀링(mill off)할 것이며(예를 들어, 메틸기(methyl group)들을 녹-오프(knock off)함), 이에 따라 이온 플럭스는 타겟 성분과 상대적으로 낮은 화학적 반응성을 갖는 소스 가스로부터 발생할 것이다. 예시적인 이온 종은, 헬륨 이온들, 네온 이온들, 제논 이온들, 질소 이온들, 또는 아르곤 이온들을 포함하며, 이온 플럭스의 에너지 준위(energy level)들을 감소시키기 위해 매우 낮은 플라즈마 DC 바이어스들이 제공될 수 있도록, Ar+가, 낮은 이온화 전위(ionization potential)(예를 들어, 2-4eV)를 가지므로 선호된다. 네온 및 헬륨과 같은 양전성 희석제(electropositive diluent)들이 또한, 이온 플럭스 에너지를 더 조정(tuning)하기 위해 아르곤 환경에 부가될 수 있다. 프로세스 압력들은 더 큰 지향성을 위해 유익하게는 10 mTorr 미만이고, 더 유익하게는 5 mTorr 미만이다. 공급 가스(feed gas)의 이온화 전위에 따라, 대략 50W 내지 100W의 낮은 RF 전력들이, 실리콘-산화물 매트릭스로부터 탄소 종을 쳐냄(knocking out)으로써 저-k 유전체 필름을 수정하는 데에 유익한 것으로 발견되었다.
도 3b는 워크피스에 대한 동작(110)의 영향을 도시한다. 도시된 바와 같이, 이온 플럭스(307)가 저-k 유전체 층(304)의 수정된 부분들(308)을 형성한다. 실시예에서, 수정된 부분들(308)은 탄소-공핍되어 있고, 따라서, 벌크(즉, 저-k 유전체층(304)의 수정되지 않은 부분들)에 비해, SiOx가 풍부하다(enriched). 수정된 부분들(308)의 필름 밀도 및 형태(morphology)가 또한 저-k 유전체 층(304)과 관련하여 변경(alter)될 수 있다. 예를 들어, 수정된 부분들(308)은, 동작(110) 동안에 이온 타격에 의해 밀도가 높아지거나 그렇지 않으면 기계적으로 손상(예를 들어, 거칠어짐(roughened))될 수 있다. 이온 플럭스에 따라, 수정된 부분들(308)의 깊이는 50 Å 또는 그 미만에 이를 수 있다.
도 1로 돌아가, 동작(120)에서, 기저의 벌크(또는 도 3c의 저-k 유전체 층(304)의 수정되지 않은 부분(304B)) 위에서 저-k 유전체 층의 SiOx가 풍부한 수정된 부분(SiOx-enriched modified portion)을 선택적으로 제거하기 위해 건식 식각 프로세스가 사용된다. 제거된 수정된 부분은 저-k 유전체 필름 내의 대략 분자 성분들의 치수이므로, 식각 동작(120)은 원자 층 식각 또는 분자 레벨 식각(MLE)으로 고려될 것이다. 일 실시예에서, 동작(120)은, 적어도 삼불화질소(NF3) 및 이를 테면 암모니아(NH3) 또는 수증기(H20)와 같은 수소 소스로부터 생성된 플라즈마를 수반하여, 반응성 식각 종 NH4F 및/또는 NH4F·HF를 생성한다. 추가의 실시예에서, 수증기(H20)가 NF3 및 NH3와 함께 제공되어, 동작(120)에서 SiOx 식각 레이트를 더 향상시킨다. 동작(120) 동안에 비반응성 가스들(예를 들어, He)이 또한 사용될 수 있다.
다른 실시예에서, 식각 프로세스(100)는 시코니-타입(siconi-type) 식각 기법을 사용하며, 상기 식각 기법은, 본원과 양수인이 동일한 미국 특허 출원 번호 제12/620,806호에 보다 상세하게 더 기술되어 있고, 동작(120) 동안에 수행될 2 단계 메커니즘(two step mechanism)을 수반한다. 이러한 실시예에서, 수증기(H20) 및 얇은 고체 실리케이트 식각 부산물(예를 들어, (NH4)2SiF6)이 더 낮은 제1 워크피스 온도(예를 들어, 30 ℃)에서 형성되며, 실리케이트는 이후 더 높은 제2 워크피스 온도(예를 들어, 100 ℃)에서 워크피스로부터 승화(sublime)된다. 그러나, 예를 들어, 더 높은 식각 레이트가 요구되는 특정 실시예들에서, 시코니 식각은 고정된 높은(elevated) 워크피스 온도에서 수행된다. 기판 온도를 사이클링하는 부가적인 오버헤드(overhead)없이, 식각 프로세스(100)는 더 높은 식각 레이트를 위해 더 빠르게 사이클링될 수 있다. 바람직하게는, 동작(120)에서의 고정된 워크피스 온도는 약 80 ℃ 내지 100 ℃이다. 방법(100)의 하드마스크 및 비마스크 실시예들에 대해 더 높은 온도들이 가능하지만, 레티큘레이션(reticulation)을 방지하기 위해, 포토레지스트를 사용하는 실시예들에 대해 동작(120)에서의 최대의 고정된 워크피스 온도는 대략 120℃ 미만이다. 특정 실시예들에서, 두 동작들(110 및 120) 모두는, 워크피스 온도를 사이클링하는 것에 관한 임의의 오버헤드를 방지하기 위해, 고정된 높은 온도에서 수행된다.
도 1로 돌아가, 식각 프로세스 제어기는 동작(120)의 완료 후에 식각 프로세스 종료 기준들이 충족되는지를 결정한다. 식각 프로세스 종료 기준들은 프로세스 지속기간(duration), 엔드포인트 신호(광학 신호 또는 다른 신호), 등에 근거할 수 있다. 식각 프로세스 종료 기준들이 충족되면, 프로세스(100)가 완료되며 그리고 워크피스가 챔버(150)로부터 언로딩(unloading)된다. 식각 프로세스 종료 기준들이 아직 충족되지 않았으면, 동작(110)으로 돌아감으로써 후속적인 반복이 개시된다.
추가의 실시예에서, 동작(130)에서, 저온(low-temp)의 컨포멀한 실리콘-기반(silicon-based) 유전체 층이 워크피스 위에 증착된다. 예를 들어, 이온 플럭스의 기능(function)이 이상적으로 충돌이 적은 이송 모드(ideally collision-less mode of transport)가 아님에 따라 완전히 이방성이지 않은 수정 동작(110)으로부터 기인하는 임의의 프로파일 언더컷(profile undercut) 또는 보우(bow)를 방지(combat)하기 위해, 증착 동작(130)은 식각 프로세스(100) 동안에 주기적으로 수행될 수 있다. 도 1에 도시된 바와 같이, 증착 동작(130)은, 각각의 식각 사이클이 두 동작들(110 및 120) 모두의 단일 수행을 수반하는 경우인 식각 사이클 카운트 임계치(threshold)에 도달된 조건에서만 수행된다. 따라서, 미리결정된 비율(ratio) 또는 듀티 사이클(duty cycle)에서 식각 동작 및 증착 동작을 함께 인터리빙(interleaving)하는 "다중-X(multi-X)" 순환 프로세스(cyclic process)에 대해, 증착 동작(130)은 매 식각 사이클마다(식각 사이클 카운트 임계치가 1) 또는 일부(some) 더 낮은 레이트에서(식각 사이클 카운트 임계치가 1보다 큼) 수행될 수 있다.
도 3d에 더 도시된 바와 같이, 증착 동작(130)은 식각 동작(120)에 의해 노출된 벌크 저-k 유전체(304B)의 적어도 측벽들 상에 형성되는 보호 층(312)을 형성한다. 보호 층(312)의 두께는 식각 동작(120)에 대하여 동작(130)이 수행되는 빈도(frequency)에 따라 크게 달라질 수 있다. 일반적으로, 증착 동작(130)은 측벽 커버리지를 보장하기 위해 컨포멀한 증착 프로세스를 수반한다. 실시예들에서, 위에 놓인 마스크 재료(예를 들어, 포토레지스트)를 보존하기 위해, 컨포멀한 증착 프로세스는 저온(예를 들어, 130℃ 미만)이다. 실시예에서, 보호 층(312)은 실리콘 이산화물이다. 그러나, 하나의 유익한 실시예에서, 보호 층(312)은 탄소-도핑된 실리콘 산화물이다. 탄소 도핑된 층의 증착은, 식각 동작(120)을 통한 후속적인 반복이, 특히 트렌치(310)의 측벽들로부터, 보호 층(130)을 완전히 제거하지 않도록, 식각 동작(120)에 대한 보호 층(130)의 내성(resistance)을 유익하게 증가시킬 수 있다. 또 다른 실시예에서, 보호 층(312)은 실리콘 질화물이다. 보호 층(130)이 식각 동작(120)에 대해 선택성을 제공하는 탄소 도핑된 실시예들 및 질화물 실시예들에서, 식각 사이클 카운트 임계치는 식각에 쓰인 프로세스(100)의 더 큰 부분에 대해 더 높게 만들어질 수 있고, 전체적인 저-k 유전체 식각 레이트가 증가된다.
실시예에 따라, 이를 테면, 사불화 실리콘(SiF4), 사염화 실리콘(SiCl4), 실란(SiH4), 또는 흔히 알려져 있는 임의의 실리콘-함유 탄화 전구체(이를 테면, 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸-디실록산(TMDSO), 테트라메틸시클로테트라실록산(TMCTS), 테트라메틸-디에톡실-디실록산(TMDDSO), 디메틸-디메톡실-실란(DMDMS) ― 그러나 이들로 제한되지 않음)와 같은, 그러나 이들로 제한되지 않는, 흔히 알려져 있는 임의의 실리콘 전구체가 동작(130)에서 사용될 수 있다. 보호 층이 질화물인 추가의 실시예들에서, 이를 테면, 트리실릴아민(TSA) 및 디실릴아민(DSA)과 같은, 그러나 이들로 제한되지는 않는, 전구체들이 사용될 수 있다. 이들 소스들 중의 임의의 소스는, PECVD 프로세스에서, 이를 테면, 산소(O2), 오존(O3), 이산화탄소(CO2), 또는 물(H2O)과 같은, 그러나 이들로 제한되지는 않는, 산소 라디칼 소스와 반응할 수 있다.
동작(130) 후에, 동작(110)으로 돌아감으로써 후속적인 반복이 수행된다. 이러한 방식으로, 도 3e 및 3f에 더 도시된 바와 같이, 식각 프론트(etch front)가 타겟 필름을 통해 증분적으로(incrementally) 전진(advance)되어, 점진적으로 더 깊은 트렌치(310B)를 형성한다.
도 2는 식각 챔버가 식각 프로세스(100)의 다중 모드들에서 어떻게 동작할 수 있는지를 더 도시하는 흐름도이다. 방법(200)은, 동작(205)에서, 챔버 내에 워크피스를 수용(receive)하는 것으로 시작된다. 이온 밀링 플라즈마가, 워크피스에 가장 가까운 샤워헤드 아래에 배치된 챔버의 제1 영역 내에서 에너자이징(energizing)된다. RF 소스가 워크피스 상에 DC 바이어스 전위를 제공하여, 수정 동작(110)에 대해 본원의 다른 곳에서 기술된 이온 플럭스를 생성한다. 실시예들에서, RF 소스는, 워크피스가 상부에 지지되는, 페디스털(pedestal) 또는 척(chuck)을 통해 용량적으로 결합되어, 워크피스 바로 위의 제1 챔버 영역에서 플라즈마를 생성한다. 하나의 그러한 실시예에서, 용량적으로 결합된 플라즈마(CCP)가 척으로부터 런치(launch)되며(즉, 척이 RF 구동됨(driven)) 그리고 워크피스에 가장 가까운 샤워헤드가 RF 복귀 경로(return path)(즉, 애노드로서)를 제공한다.
동작(220) 동안에, SiO 식각 플라즈마가 챔버의 제2 영역 내에서 에너자이징되어, 워크피스에 이온 플럭스를 유발할 방식으로 워크피스를 바이어싱하는 것을 최소화하거나 방지한다. 일 실시예에서, 식각 동작(220)을 본질상 매우 화학적이게 만들기 위해, 제2 챔버 영역은 워크피스에 가장 가까운 샤워헤드 위에 배치되며, 그에 따라 동작(210) 동안에 발생된 이온 밀링 플라즈마보다 워크피스로부터 상대적으로 더 멀리에 배치된다. 실시예에서, 워크피스 바이어스 전위를 최소화하기 위해 페디스털 또는 척은 동작(220) 동안에 RF 전력공급(powering)되지 않는다. 워크피스 상에 현저한 바이어스 전위를 형성하지 않으면서 본원의 다른 곳에서 기술된 식각 동작(120)을 위한 반응성 종을 형성하기 위해, 원격 및/또는 소프트 이온화 기법들이 동작(220)에서 사용된다. 하나의 그러한 실시예에서, 제2 CCP는 웨이퍼로부터 샤워헤드에 대향하는(opposite) 측(side)에 배치된 전극으로부터(또는 전극으로)(예를 들어, 워크피스에 가장 가까운 샤워헤드 위의 전극으로부터(또는 전극으로)) 워크피스에 가장 가까운 샤워헤드로(또는 샤워헤드로부터) 런치된다. 다른 실시예에서, 식각 동작(120) 동안에 소프트 이온화를 위한 전자들의 소스로서 DC 방전(discharge)이 사용된다. 대안적인 실시예에서, 챔버의 제2 영역 내에 플라즈마를 형성하기 위해 원격 플라즈마 소스(RPS)가 사용된다. 또 다른 실시예에서, 챔버의 제2 영역 내에 플라즈마를 형성하기 위해, 유도적으로 결합된 플라즈마(ICP)가 사용된다. 이들 실시예들 각각에 대한 식각 챔버 하드웨어 구성들은 본원의 다른 곳에서 더 기술된다.
보호 층을 증착하는 실시예들(예를 들어, 도 1의 동작(130))에 대해, 챔버의 원격 제2 영역 내에서 산화 플라즈마(oxidizing plasma)가 생성되고 실리콘(및 탄소) 함유 전구체가 챔버 내로(예를 들어, 제1 챔버 영역 내로) 도입되어, 워크피스에 이송된 산화 종과 반응한다. 따라서, 플라즈마 식각 챔버의 제1 동작 모드 및 제1 영역은 저-k 유전체 필름의 부분적 두께를 수정하기 위해 사용될 수 있고, 플라즈마 식각 챔버의 제2 동작 모드 및 제2 영역은 저-k 유전체 필름의 수정된 두께를 식각하기 위해 사용될 수 있다. 제2 영역은 보호 층을 증착하기 위해 제3 동작 모드에서 더 동작될 수 있다.
시코니-타입 프로세스를 사용하는 실시예들에 대해, 시코니-타입 식각의 2 단계(stage)들은, 식각 챔버의 상이한 영역들 내에서 런치되고 생성되는 두 개의 상이한 플라즈마들을 더 수반할 수 있다. 예를 들어, 제1 챔버 영역과 제2 챔버 영역 둘 모두가 시코니-타입 프로세스를 수행하기 위해 사용될 수 있거나, 또는 제2 챔버 영역 및 제3 챔버 영역이 시코니-타입 프로세스를 수행하기 위해 사용될 수 있다.
도 4에 도시된 바와 같이, 본원의 다른 곳에서 기술된 것과 같이 구성된 하나 또는 그 초과의 저-k 식각 챔버들(405)이, 통합된 플랫폼(integrated platform)에 결합되어, 멀티-챔버 프로세싱 시스템을 형성한다. 다중-동작 모드 식각 프로세스(100)에 대해 기술된 하나 또는 그 초과의 실시예들이, 도 4에 도시된 다중-챔버 시스템의 저-k 식각 챔버(405) 각각에 의해 수행될 수 있다. 도 4로 돌아가, 다중-챔버 프로세싱 플랫폼(400)은 복수의 프로세스 모듈들을 동시에 적응적으로 제어할 수 있는 본 기술분야에서 알려져 있는 임의의 플랫폼일 수 있다. 예시적인 실시예들은, Opus™ AdvantEdge™ 시스템, Producer™ 시스템, 또는 Centura™ 시스템을 포함하고, 이들 모두는 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 상업적으로 입수가능하다.
프로세싱 플랫폼(400)은 본원에 기술된 임의의 식각 프로세스들의 적응적 제어를 가능하게 하기 위해 제어 신호들을 제공하기 위한 집적 메트롤로지(IM: integrated metrology) 챔버(425)를 더 포함할 수 있다. IM 챔버(425)는 이를 테면, 두께, 거칠기, 조성과 같은 다양한 필름 특성들을 측정하기 위해 본 기술분야에서 흔히 알려져 있는 임의의 메트롤로지를 포함할 수 있고, 이를 테면, 임계 치수들(CD), 측벽 각도(SWA), 피쳐 높이(HT)와 같은 격자 파라미터(grating parameter)들을 진공 하에서 자동화된 방식으로 더 특징지을 수 있다. 도 4에 더 도시된 바와 같이, 다중-챔버 프로세싱 플랫폼(400)은, 로보틱 핸들러(robotic handler)(450)를 갖는 이송 챔버(401)에 결합된, 전면 개방형 일체식 포드들(front opening unified pods)(FOUPS)(435 및 445)를 수용(hold)하는 로드록 챔버들(430)을 더 포함한다.
저-k 식각 챔버들(405)에서 수행되는 식각 프로세스가 프로세스(100)의 각각의 사이클을 따라 반복적으로 진행됨에 따라, 저-k 식각 챔버들(405)은 자동적으로 프로세스(200)를 통해 사이클링하여, RF 소스를 상이한 전극에 결합하는 릴레이들을 작동(actuate)시키고/작동시키거나, 상이한 전극들에 개별적으로 결합된 별개의 RF 소스들을 동작 모드들 사이에서 수정하도록 동작시킬 수 있다. 저-k 식각 챔버들(405)에 대한 그러한 제어는 하나 또는 그 초과의 제어기(470)에 의해 제공될 수 있다. 제어기(470)는 다양한 서브프로세서들 및 서브제어기들을 제어하기 위해 산업 환경(industrial setting)에서 사용될 수 있는 범용 데이터 프로세싱 시스템의 임의의 형태 중 하나일 수 있다. 일반적으로, 제어기(470)는, 다른 공통적인 컴포넌트들 중에서도, 입/출력(I/O) 회로(474) 및 메모리(473)와 통신하는 중앙 프로세싱 유닛(CPU)(472)을 포함한다. CPU(472)에 의해 실행되는 소프트웨어 명령들은 멀티-챔버 프로세싱 플랫폼(400)으로 하여금, 예를 들어, 기판을 저-k 식각 챔버(405) 내로 로딩하고, 다중-동작 모드 식각 프로세스(200)를 실행하고, 그리고 기판을 저-k 식각 챔버(405)로부터 언로딩하게 한다. 본 기술분야에서 알려져 있는 바와 같이, 다수의 저-k 식각 챔버들(405)의 통합(integration)을 관리하기 위해, 로드록 챔버들(430), 또는 로보틱 핸들러(450)의 부가의 제어기들이 제공된다.
본원의 다른 곳에서 상세히 기술된 식각 프로세스 챔버들 중 하나 또는 그 초과는 워크피스로의 유체(fluid)들(반응성 종, 가스들, 등)의 분배 및 이송을 위해 종래의 샤워헤드 또는 "듀얼 존(dual zone)" 샤워헤드(DZSH)를 사용할 수 있다. DZSH의 상세한 설명은 본원과 양수인이 동일한 미국 특허 출원 번호 제12/836,726호에서 찾아볼 수 있는 한편, 도 5a 및 5b는 다중-동작 모드 플라즈마 식각 챔버의 특정한 실시예들에서 유익하게 레버리지될 수 있는 DZSH(500)의 몇몇 피쳐들을 도시한다. 도 5a는 DZSH의 컷아웃 사시도를 도시하고 도 5b는 도 5a의 컷아웃 사시도의 확대된 부분을 도시한다. 도시된 바와 같이, DZSH(500)는 복수의 제1 어퍼처(aperture)들(514)을 갖는 상부 매니폴드(upper manifold)(510) 및 복수의 제2 어퍼처들(524)을 갖는 바닥 매니폴드(530)를 포함한다. 제1 유체 유동(F3)은, DZSH(500) 아래에 배치된 프로세싱 영역 내로 진입하기 전에, 어퍼처들(514), 중앙 매니폴드(520) 내의 제2 개구들(524), 바닥 매니폴드(530) 내의 제2 개구들(534)을 통해 샤워헤드를 통과한다. 제2 유체 유동(F4)은 채널 네트워크를 통해 제2 가스 채널들(538) 중 하나 또는 그 초과로 향하고 어퍼처들(542)을 통해 프로세싱 영역에 전달된다. 제1 유체 및 제2 유체는 이들이 프로세싱 영역 내로 각각 전달될 때 까지 DZSH 내에서 서로로부터 격리된다. 따라서, 제1 유체는 에너자이징된 상태로(예를 들어, 라디칼 또는 이온 종으로서) 제공될 수 있는 반면, 제2 유체는 반응되지 않은(unreacted) 및/또는 에너자이징되지 않은(unenergized) 상태로 제공될 수 있다.
실시예에서, 플라즈마 식각 챔버는 DZSH에 결합된 플라즈마 소스를 포함한다. 일 실시예에서, 본원에 기술된 다중 동작 모드 챔버들에 대해 적어도 하나의 플라즈마를 제공하기 위해, "시코니 식각" 소스가 시코니 식각/예비세정 챔버(어플라이드 머티어리얼스로부터 상업적으로 입수가능함)로부터 적응될 수 있다. 예를 들어, 시코니 식각 소스는, 이온 밀링 동작(예를 들어, 도 1의 110)을 구현하기 위한 제1 용량성 플라즈마 소스와, 그리고 본원에 기술된 식각 동작(예를 들어, 도 1의 120) 및/또는 선택적 증착 동작(예를 들어, 도 1의 130)을 구현하기 위한 제2 용량적으로 결합된 플라즈마 소스 중에서 적어도 하나를 제공할 수 있다.
도 6a, 6b, 및 6c는 실시예에 따른, 식각 프로세스(100)(도 1)에서 동작들 각각을 수행하기 위해 다중 동작 모드들(상태들)로 구성된 식각 챔버의 단면도들을 도시한다. 일반적으로, 식각 챔버(601)는, 이온 밀링 동작을 구현하기 위한 제1 용량적으로 결합된 플라즈마 소스 및, 식각 동작을 구현하고 선택적인 증착 동작을 구현하기 위한 제2 용량적으로 결합된 플라즈마 소스를 포함한다. 도 6a는 실시예에 따른, 수정 동작(110)(도 1)을 수행하도록 구성된 식각 챔버(601)의 단면도를 도시한다. 식각 챔버(601)는 척(650)을 둘러싸는 접지된 챔버 벽들(640)을 갖는다. 본 기술분야에서 알려져 있는 다른 클램핑 메커니즘들이 또한 사용될 수 있지만, 실시예들에서, 척(650)은 프로세싱 동안에 워크피스(302)를 척(650)의 최상부 표면에 클램핑하는 정전 척(ESC: electrostatic chuck)이다.
척(650)은 내장된(embedded) 열 교환기 코일(617)을 포함한다. 예시적인 실시예에서, 열 교환기 코일(617)은 하나 또는 그 초과의 열 전달 유체 채널들을 포함하며, 이러한 열 전달 유체 채널들 통해 이를 테면, 에틸렌 글리콜/물 혼합물, Galden® 또는 Fluorinert®, 등과 같은 열 전달 유체(heat transfer fluid)가 통과되어, 척(650)의 온도 및 궁극적으로는 워크피스(302)의 온도를 제어할 수 있다.
척(650)은 고 전압 DC 공급기(648)에 결합된 메시(mesh)(649)를 포함하며, 이에 따라 메시(649)는 워크피스(302)의 정전기 클램핑을 구현하기 위해 DC 바이어스 전위를 전달할 수 있다. 척(650)은 제1 RF 전력 소스에 결합되고, 그러한 하나의 실시예에서, DC 전압 오프셋과 RF 전압 전위들의 양자 모두가 척(650)의 최상부 표면 상의 얇은 유전체 층에 걸쳐 결합되도록, 메시(649)가 제1 RF 전력 소스에 결합된다. 예시적인 실시예에서, 제1 RF 전력 소스는 제1 및 제2 RF 발생기(RF generator)(652, 653)를 포함한다. RF 발생기들(652, 653)은 본 기술분야에서 알려져 있는 임의의 산업 주파수(industrial frequency)에서 동작할 수 있지만, 예시적인 실시예에서, RF 발생기(652)는 유익한 지향성을 제공하기 위해 60 MHz에서 동작한다. 제2 RF 발생기(653)가 또한 제공되는 경우, 예시적인 주파수는 2 MHz이다.
RF 전력이 공급될 척(650)을 사용하게 되면, RF 복귀 경로가 제1 샤워헤드(625)에 의해 제공된다. 제1 샤워헤드(625)는, 제1 샤워헤드(625) 및 챔버 벽(640)에 의해 정의되는 제1 챔버 영역(684) 내로 제1 공급 가스를 분배하기 위해 척 위에 배치된다. 따라서, 척(650) 및 제1 샤워헤드(625)는 제1 RF 결합된 전극 쌍(RF coupled electrode pair)을 형성하여, 제1 챔버 영역(684) 내에서 제1 공급 가스의 제1 플라즈마(670)를 용량적으로 에너자이징한다. RF 전력이 공급된 척의 용량성 결합으로 인한 DC 플라즈마 바이어스(즉, RF 바이어스)는, 이온 밀링 플라즈마를 제공하기 위해, 제1 플라즈마(670)로부터 워크피스(302)로의 이온 플럭스(예를 들어, 제1 공급 가스가 Ar인 경우 Ar 이온들)를 생성한다(예를 들어, 도 2의 동작(220)). 제1 샤워헤드(625)는 접지되거나, 대안적으로는, 척(650)의 주파수 이외의 주파수(예를 들어, 13.56 MHz 또는 60 MHz)에서 동작가능한 하나 또는 그 초과의 발생기들을 갖는 RF 소스(628)에 결합될 수 있다. 도시된 실시예에서, 제1 샤워헤드(625)는, 예를 들어, 제어기(470)에 의해, 식각 프로세스 동안에 자동적으로 제어될 수 있는 릴레이(627)를 통해, RF 소스(628) 또는 접지에 선택가능하게 결합된다.
도 6a에 더 도시된 바와 같이, 식각 챔버(601)는 낮은 프로세스 압력들에서 높은 처리량이 가능한 펌프 스택을 포함한다. 실시예들에서, 적어도 하나의 터보 분자 펌프(665, 666)가 게이트 밸브(660)를 통해 제1 챔버 영역(684)에 결합되고, 제1 샤워헤드(625)에 대향하여, 척(650) 아래에 배치된다. 터보 분자 펌프(들)(665, 666)은 적합한 처리량을 갖는 상업적으로 입수가능한 임의의 터보 분자 펌프일 수 있고, 더 구체적으로는 제1 공급 가스의 요구되는 유량(예를 들어, Ar의 50 내지 500 sccm)에서 프로세스 압력들을 10 mTorr 미만으로, 바람직하게는 5 mTorr 미만으로 유지하기 위해 적절히 사이징되어야(sized) 한다. 도 6a에 도시된 실시예에서, 척(650)은 2개의 터보 펌프들(665 및 666) 사이에 중심을 둔 페디스털의 부분을 형성하지만, 대안적인 구성들에서, 척(650)은, 상기 척(650)의 중심과 정렬된 중심(center)을 갖는 단일의 터보 분자 펌프를 가지면서, 챔버 벽(640)으로부터 캔틸레버된(cantilevered) 페디스털 상에 있을 수 있다.
제1 샤워헤드(625) 위에는 제2 샤워헤드(610)가 배치된다. 일 실시예에서, 프로세싱 동안에, 제1 공급 가스 소스, 예를 들어, 아르곤 보틀(Argon bottle)(690)이 가스 유입구(gas inlet)(676)에 결합되고, 제1 공급 가스는 제2 샤워헤드(610)를 통해 연장하는 복수의 어퍼처들(680)을 통해 제2 챔버 영역(681) 내로, 그리고 제1 샤워헤드(625)를 통해 연장하는 복수의 어퍼처들(682)을 통해 제1 챔버 영역(684) 내로 유동된다. 어퍼처들(678)을 갖는 부가적인 유동 분배기(615)는 식각 챔버(601)의 직경에 걸쳐 제1 공급 가스 유동(616)을 더 분배할 수 있다. 대안적인 실시예에서, 제1 공급 가스는, 제2 챔버 영역(681)으로부터 격리된 어퍼처들(683)을 통해 제1 챔버 영역(684) 내로 직접 유동된다(대시선(623)으로 표시됨). 예를 들어, 제1 샤워헤드가 DZSH인 경우, 어퍼처들(683)은 도 5b의 어퍼처들(542)에 대응한다.
도 6b는 실시예에 따른, 도 1의 식각 동작(120)을 수행하기 위해 도 6a에 도시된 상태로부터 재구성된 식각 챔버(601)의 단면도를 도시한다. 도시된 바와 같이, 2차 전극(605)이 제1 샤워헤드(625) 위에 배치되며, 이러한 제1 샤워헤드(625)와 2차 전극(605) 사이에 제2 챔버 영역(681)이 있다. 2차 전극(605)은 식각 챔버(601)의 리드(lid)를 더 형성할 수 있다. 2차 전극(605) 및 제1 샤워헤드(625)는 유전체 링(620)에 의해 전기적으로 격리되며, 제2 RF 결합된 전극 쌍을 형성하여, 제2 챔버 영역(681) 내에서 제2 공급 가스의 제2 플라즈마(691)를 용량적으로 방전한다. 유익하게는, 제2 플라즈마(691)는 척(650) 상에 현저한 RF 바이어스 전위를 제공하지 않는다. 도 6b에 도시된 바와 같이, 제2 RF 결합된 전극 쌍 중의 적어도 하나의 전극은, (도 1의 식각 동작(120) 동안) 도 2의 동작(220)에서 식각 플라즈마를 에너자이징하기 위해 RF 소스에 결합된다. 2차 전극(605)은 제2 샤워헤드(610)에 전기적으로 결합된다. 바람직한 실시예에서, 제1 샤워헤드(625)는 접지 평면에 결합되거나 플로팅되며, 그리고 릴레이(627)를 통해 접지에 결합될 수 있으며, 그에 의해 제1 샤워헤드(625)가 또한 이온 밀링 동작 모드 동안에 RF 전력 소스(628)에 의해 전력공급될 수 있게 한다. 제1 샤워헤드(625)에 전력공급이 되면 2차 전극(605)이 또한 플로팅으로 남을 수 있지만, 제1 샤워헤드(625)가 접지된 경우, 예를 들어 13.56 MHz 또는 60 MHz에서 동작하는 하나 또는 그 초과의 RF 발생기들을 갖는 RF 전력 소스(608)가 릴레이(607)를 통해 2차 전극(605)에 결합되며, 상기 릴레이(607)는 다른 동작 모드들 동안(예를 들어, 이온 밀링 동작(110) 동안) 2차 전극(605)이 또한 접지될 수 있게 할 것이다.
NF3 보틀(691)과 같은 제2 공급 가스 소스, 및 NH3 보틀(692)과 같은 수소 소스가 가스 유입구(676)에 결합된다. 이러한 모드에서, 제2 공급 가스는 제2 샤워헤드(610)를 통해 유동하고 제2 챔버 영역(681) 내에서 에너자이징된다. 반응성 종(예를 들어, NH4F)이 이후 제1 챔버 영역(684) 내로 통과하여 워크피스(302)와 반응한다. 더 설명되는 바와 같이, 제1 샤워헤드(625)가 DZSH인 실시예들에 대해, 하나 또는 그 초과의 공급 가스들이 제공되어, 제2 플라즈마(691)에 의해 생성되는 반응성 종과 반응할 수 있다. 하나의 그러한 실시예에서, 수증기 소스(696)가 복수의 어퍼처들(683)에 결합될 수 있다.
실시예에서, 척(650)은 제1 샤워헤드(625)에 대해 수직(normal) 방향으로 거리(ΔH2)를 따라 이동가능하다. 척(650)은, (80℃ - 150℃의 높은 온도, 또는 그보다 더 높은 온도에서) 척(650)과 제1 샤워헤드(625) 사이의 열 전달을 제어하는 수단으로서 척(650)이 제1 샤워헤드(625)에 더 가까이 또는 제1 샤워헤드(625)로부터 더 멀리 이동할 수 있게 하기 위해, 벨로스(bellows)(655) 등에 의해 둘러싸인 구동 메커니즘(actuated mechanism) 상에 있다. 따라서, 시코니 식각 프로세스는 제1 샤워헤드(625)에 대해 제1의 미리결정된 위치와 제2의 미리결정된 위치 사이에서 척(650)을 이동시킴으로써 구현될 수 있다. 대안적으로, 척(650)은, 식각 프로세스 동안에 제1 샤워헤드(625)에 의한 가열을 제어하기 위해 워크피스(302)를 척(650)의 최상부 표면으로부터 거리 ΔH1 만큼 들어올리기 위한 리프터(lifter)를 포함한다. 다른 실시예들에서, 식각 프로세스가 고정된 온도(예를 들어, ~90-110℃)에서 수행되는 경우, 척 이동 메커니즘들이 방지될 수 있다.
제어기(470)는, 자동적으로 제1 및 제2 RF 결합된 전극 쌍들에 교번하여 전력공급함으로써 식각 프로세스 동안에 제1 및 제2 플라즈마들(690 및 691)을 교번하여 에너자이징할 것이다.
도 6c는 실시예에 따른, 도 1에 도시된 증착 동작(130)을 수행하도록 재구성된 식각 챔버(601)의 단면도를 도시한다. 도시된 바와 같이, 제3 플라즈마(692)가, 제2 플라즈마(691)에 대해 기술된 방식들 중 임의의 방식으로 구현될 수 있는 RF 방전에 의해 제2 챔버 영역(681) 내에서 생성된다. 증착 동안에 제1 샤워헤드(625)가 제3 플라즈마(692)를 생성하도록 전력공급되는 경우, 제1 샤워헤드(625)는 챔버 벽에 대해 전기적으로 플로팅되도록 유전체 스페이서(630)에 의해, 접지된 챔버 벽(640)으로부터 격리된다. 예시적인 실시예에서, 산화제(O2) 공급 가스 소스(694)가 가스 유입구(676)에 결합된다. 제1 샤워헤드(625)가 DZSH인 실시예들에서, 본원의 다른 곳에서 기술된 실리콘 함유 전구체들 중 임의의 실리콘 함유 전구체(예를 들어, OMCTS 소스(695))가 제1 챔버 영역(684) 내로 결합되어, 제3 플라즈마(692)로부터 제1 샤워헤드(625)를 통과하는 반응성 종과 반응할 수 있다. 대안적으로, 실리콘 함유 전구체는 또한 산화제와 함께 가스 유입구(676)를 통해 유동된다.
도 7은 실시예에 따른, 수정 동작(110)을 수행하도록 구성된 식각 챔버(701)의 단면도를 도시한다. 도시된 바와 같이, 식각 챔버(701)는 캔틸레버된 척(cantilevered chuck)(650) 및 상기 척(650)의 중심과 정렬된 중심을 갖는 단일 터보 펌프(665)를 갖는다. 더 도시된 바와 같이, 제1 샤워헤드(625)는 접지되는 반면, 챔버(601)의 상황(context)에서 기술된 방식으로 플라즈마의 위치가 제1 챔버 영역(684)과 제2 영역(681) 사이에서 변하면서, 수정 동작(110)과 식각 동작(120) 각각을 구현하기 위한 이온 밀링 동작(210)과 식각 동작(220) 사이에서 척(650)과 2차 전극(605) 사이에 구동 전극(driven electrode)을 교대하기 위해, 척(650)과 2차 전극(605) 둘 모두가 릴레이(607)를 통해 동일한 RF 소스에 결합된다. 대안적으로, 챔버(601)의 상황에서 기술된 방식으로 플라즈마의 위치가 제1 챔버 영역(684)과 제2 영역(681) 사이에서 변하면서, RF 소스(608)는 척(650)에 전력공급하는 RF 소스(예를 들어, 발생기들(652 및 653) 중 하나 또는 그 초과)와 무관하게 2차 전극에 전력공급할 수 있다.
도 8a는 실시예에 따른, 도 1에 도시된 식각 프로세스의 수정 동작(110)을 수행하도록 구성된 식각 챔버(801)의 단면도를 도시한다. 일반적으로, 식각 챔버(801)는 이온 밀링 동작을 구현하기 위한 제1 용량적으로 결합된 플라즈마 소스, 식각 동작을 구현하기 위한 원격 플라즈마 소스, 그리고 선택적으로, 증착 동작을 구현하기 위한 제2 용량적으로 결합된 플라즈마 소스를 포함한다.
식각 챔버(801)는, 척(650)에 대향하여, 제1 샤워헤드(625) 위에 배치되는 원격 RF 플라즈마 소스(823)를 포함한다. 이온 밀링 동작 모드에서, 식각 챔버(801)는, 실질적으로 식각 챔버(601)에 대해 기술된 것과 같이 제1 챔버 영역(684) 내에서 용량적으로 결합된 제1 플라즈마(670)를 제공한다. 도시된 실시예에서, 척(650)은 제1 RF 전력 소스(RF 발생기들(652 및 653))에 결합되고, 제1 샤워헤드(625)는, 릴레이(607B)를 통해, 제1 RF 전력 소스(652, 653)의 주파수 이외의 주파수에서 동작가능한 하나 또는 그 초과의 RF 발생기들(608)을 포함하는 제2 RF 전력 소스 또는 접지에 선택가능하게 결합된다. 제1 샤워헤드(625)에 전력공급되는 경우, 제1 샤워헤드(625)는, 챔버 벽(640)에 대해 전기적으로 플로팅되도록, 유전체 스페이서(630)에 의해, 접지된 챔버 벽(640)으로부터 격리된다. 제1 샤워헤드(625)에 전력공급되는 실시예들에서, 제2 샤워헤드(610) 및 2차 전극(605)은 제1 샤워헤드(625)와 동일한 전위로 전기적으로 연결될(tied) 수 있다.
도 8b는 실시예에 따른, 도 1에 도시된 식각 동작(120)을 수행하기 위해 도 8a에 도시된 것으로부터 재구성된 식각 챔버(801)의 단면도를 도시한다. 도 8b에 도시된 바와 같이, 식각 동작 모드에서, 원격 RF 플라즈마 소스(823)는 가스 유입구(824)를 통해 제공되는 제2 공급 가스의 제2 플라즈마(693)를 방전할 것이다. 하나의 예시적인 실시예에서, 원격 RF 플라즈마 소스(823) 및 제1 샤워헤드(625) 둘 모두는 제1 플라즈마(670)와 제 2 플라즈마(693)에 교대로 전력공급하기 위해 제어기에 의해 제어가능한 릴레이(607A)를 통해 동일한 RF 전력 소스(821)에 결합된다. 제 2 플라즈마(693)는 척(650) 상에 현저한 RF 바이어스 전위를 두지(placing) 않고 발생될 것이다. 바람직한 실시예에서, 제1 샤워헤드(625)는 접지되거나 플로팅된다. 제2 공급 가스들의 소스들(691, 692)(NF3, NH3)이 가스 유입구(824)에 결합되고, 이후 반응성 종(예를 들어, NH4F)이 제1 샤워헤드(625)를 통해 유동한다. 본원의 다른 곳에서 기술된 바와 같이, 제2 샤워헤드(610) 및/또는 유동 분배기(615)에 의해 부가적인 유동 분배가 제공될 수 있다. 제1 샤워헤드(625)가 DZSH를 포함하는 실시예에서, 수증기(696)가 어퍼처들(683)을 통해 제공되어, 어퍼처들(682)을 통해 제1 챔버 영역(684)으로 진입하는 반응성 종과 반응할 수 있다.
도 8c는 실시예에 따른, 도 1에 도시된 증착 동작(130)을 수행하기 위해 도 8a 및 8b에 도시된 상태들로부터 재구성된 식각 챔버(801)의 단면도를 도시한다. 도 8c에 도시된 바와 같이, 증착 동작 모드에 있는 동안, 척(650)은, 전력공급되지 않은 상태로(예를 들어, 플로팅) 남아있을 수 있는 하나 또는 그 초과의 RF 발생기들(652, 653)을 포함하는 제1 RF 전력 소스에 결합된다. 제1 샤워헤드(625)는, RF 발생기(652)의 주파수 이외의 주파수(예를 들어, 13.56 MHz)에 있을 수 있는 하나 또는 그 초과의 RF 발생기들(608)을 포함하는 제2 RF 전력 소스에 결합된다. 제1 샤워헤드(625)는 유전체 스페이서(630)에 의해, 접지된 챔버 벽(640)으로부터 격리되며, 그리고 유전체 스페이서(620)에 의해 제2 샤워헤드(610)로부터 더 격리됨으로 인해, 제1 샤워헤드(625)에 대한 RF 전력은 제2 챔버 영역(681) 내에 (예를 들어, O2(694)와 같은 산화 소스 가스의) 제3 플라즈마(692)를 생성할 것이다. 하나의 예시적인 실시예에서, 제1 샤워헤드(625) 및 원격 RF 플라즈마 소스(823) 둘 모두는, 식각과 증착 (예를 들어, 각각, 도 1의 동작들(120 및 130)) 사이에서 제3 플라즈마(692)와 원격 플라즈마(693)에 교번하여 전력공급하기 위해 제어기(470)에 의해 제어가능한 릴레이(607A)를 통해 동일한 RF 전력 소스(821)에 결합된다.
제어기(470)는, 자동적으로 두 개의 소스들에 교번하여 전력공급함으로써 식각 프로세스 동안에 제1 플라즈마(670)와 원격 플라즈마(693)를 교번하여 에너자이징할 것이다. 제어기(470)는 유사하게 챔버(801)를 증착 모드에 둘 수 있다.
도 9a는 실시예에 따른, 도 1에 도시된 수정 동작(110)을 수행하도록 구성된 식각 챔버(901)의 단면도를 도시한다. 일반적으로, 식각 챔버(901)는 이온 밀링 동작들을 구현하기 위한 용량적으로 결합된 플라즈마 소스 및 식각 동작을 구현하고 선택적인 증착 동작을 구현하기 위한 e-빔 소스를 포함한다. 도 9a에 도시된 바와 같이, 제1 공급 가스(690)를 제1 챔버 영역(684) 내에 분배하기 위해, 제1 샤워헤드(625)가 척(650) 위에 배치된 상태로, 실질적으로 본원의 다른 곳에서 기술된 바와 같이 용량성 방전이 제공된다. 척(650) 및 제1 샤워헤드(625)는 제1 RF 결합된 전극 쌍을 형성하여, 제1 공급 가스(예를 들어, Ar)의 RF 플라즈마(670)를 용량적으로 방전한다.
도 9b는 실시예에 따른, 도 1에 도시된 식각 동작(120)을 수행하도록 재구성된 식각 챔버(901)의 단면도를 도시한다. 도시된 바와 같이, DC 전극들 사이의 챔버 영역 내에 DC 글로우 방전(618)을 생성하기 위해 제1 샤워헤드(625) 위에 배치된 DC 전극들의 쌍을 형성하도록, 고 전압 DC 공급기(943)가 2차 전극(605) 및 제2 샤워헤드(610)에 결합된다. DC 전극들의 쌍은 유전체 스페이서(620)에 의해 제1 샤워헤드(625)로부터 전기적으로 절연된다. 제1 샤워헤드(625)는 유전체 스페이서(630)에 의해 챔버 벽(640)으로부터 더 격리되어, 제1 샤워헤드(625)의 제어를 가능하게 한다.
동작 중에, 제2 샤워헤드(610)는 애노딕(anodic) 전위(예를 들어, -100V 내지 -200V)에서 바이어싱되는 반면, 2차 전극(605)은 캐소딕(cathodic) DC 전위, 예를 들어 4-8kV에서 바이어싱된다. 제1 공급 가스(예를 들어, Ar 보틀(690))로부터 생성된 DC 글로우 방전(618)으로부터의 전자들은 어퍼처들(680)을 통과하여 제2 챔버 영역(681) 내로 들어간다. 제1 샤워헤드(625)는 또한, 2차 전극(605)의 캐소딕 전위에 대해 애노딕 전위로 음으로(negatively) 바이어싱되도록, DC 공급기에, 예를 들어 릴레이를 통해 제2 샤워헤드(610)에 결합된다. 제1 샤워헤드(625) 상의 음의 바이어스(negative bias)는 전자들이 제1 샤워헤드(625)를 통과하여 제1 챔버 영역(684) 내로 들어갈 수 있게 한다. 제1 샤워헤드(625)는 이러한 목적을 더 진전시키기 위해 큰 홀들을 가질 수 있다. 이러한 방식으로, "e-빔" 소스는, 워크피스(302) 상에서의 현저한 바이어스 없이 반응성 식각 종(예를 들어, NH4F 등)을 제공하기 위해 제1 챔버 영역(684) 내에서 공급 가스(예를 들어, DZSH 실시예에서 어퍼처(683)에 의해 제공되는 NF3 및 NH3)를 소프트하게(softly) 이온화하기 위한 수단이다.
도 9b에 더 도시된 바와 같이, 척(650)은 이온 밀링 모드 동안에 RF 소스(발생기들(652 및 653))에 결합되는 반면, 식각 동작과 증착 동작 중 어느 하나 또는 이 둘 모두 동안에 척(650)은 또한 접지 전위 또는 캐소딕 전위로 유지될 수 있다. DC 글로우 방전(618)으로부터 워크피스(302)로의 전자 플럭스를 제어하기 위해, 제어가능한 가변(variable) 척 전위(963)가 접지 전위와 양의 바이어스 사이에서 제공된다. 추가의 실시예에서, 식각 챔버(901)는 제1 샤워헤드(625)와 척(650) 사이에 배치된 씨프 전극(thief electrode)(947)을 포함한다. 씨프 전극(947)은 가변 커패시터(964)를 통해 접지에 결합되어, 워크피스(305)로의 전자 플럭스를 더 제어한다. 도시된 바와 같이, 씨프 전극(947)은, 제1 유전체 스페이서(630)에 의해 제1 샤워헤드(625)로부터 격리되는, 그리고 제2 유전체 스페이서(937)에 의해, 접지된 챔버 벽(640)으로부터 격리되는, 전도성 링이다.
도 9c는 실시예에 따른, 도 1에 도시된 증착 동작(130)을 수행하도록 재구성된 식각 챔버(901)의 단면도를 도시한다. 식각 동작(120)을 위해 사용되는 DC 소스 또는 제2 챔버 영역(681) 내에서 생성된 제2 RF 플라즈마는, 실질적으로 본원의 다른 곳에서 기술된 바와 같이, 보호 층의 PECVD 증착을 수행하기 위해 사용된다. DC 소스가 사용되는 경우, 제2 샤워헤드(610)로부터 나오는(emanating) 전자들이 제1 샤워헤드(625)를 통과하고, OMCTS(695)와 같은 실리콘-함유 전구체가 어퍼처들(683)을 통해 제공된다. 산소가 또한 어퍼처들(683)에 의해 공급되어 전자 플럭스에 의해 이온화될 수 있다.
제어기(470)는, 자동적으로 두개의 소스들에 교번하여 전력공급함으로써 식각 프로세스 동안에 제1 플라즈마(670)와 DC 글로우 방전(618)을 교번하여 에너자이징할 것이다. 제어기(470)는 유사하게 챔버(901)를 증착 모드에 둘 수 있다.
추가의 실시예에서, 증착된 보호 층의 인-시츄 경화(in-situ cure)가 전자 플럭스에 의해 수행되어, 본질적으로 e-빔 경화-타입 프로세스를 수행할 수 있다. 접지 전위와 양의 바이어스 사이에서 제공되는 제어가능한 가변 척 전위(963)는 또한 이러한 목적을 위해 DC 글로우 방전(618)으로부터 워크피스(302)로의 전자 플럭스를 제어할 수 있다. 구체적으로, 경화가 요구되는 경우, 워크피스(302)는 접지 전위에 놓일 것이고, 경화가 요구되지 않는 경우, 워크피스(302)는 캐소딕 전위에 놓일 것이다.
도 10은 실시예에 따른, 도 1에 도시된 식각 프로세스(100)의 다양한 모드들을 수행하도록 구성된 식각 챔버(1001)의 단면도를 도시한다. 일반적으로, 식각 챔버(1001)는 이온 밀링 동작들을 구현하기 위한 CCP 및 식각 동작을 구현하고 선택적인 증착 동작을 구현하기 위한 유도적으로 결합된 플라즈마 소스(IPS)를 포함한다.
도 10에 도시된 바와 같이, 이전에 제1 챔버 영역(684)에서의 수정 동작(110)(도 1)에 대해 CCP 플라즈마의 상황에서 기술된 모든 챔버 컴포넌트들이 제공되며, 제1 샤워헤드(625) 및 척(650)은 RF 전극 쌍을 다시 한번 형성한다. 실시예에서, 제1 샤워헤드(625)는, 실질적으로 본원의 다른 곳에서 기술된 바와 같이 전력공급되거나, 전기적으로 플로팅되거나, 또는 접지될 수 있는 DZSH이다. 식각 동작(예를 들어, 도 1의 120)에 대해, 본 기술분야에서 알려져 있는 임의의 방식으로 유도적으로 결합된 플라즈마(692)를 생성하기 위해, 전도성 코일들(1052)의 세트가, 발생기(608)를 포함하는 RF 소스에 결합된다. 제1 샤워헤드의 DZSH 실시예들에서 큰 사이즈의 홀들과 조합된 ICP 소스는, 유전체 리드(dielectric lid)(1006)를 통해 도입되는, NF3(691) 및 NH3(692)와 같은 공급 가스의 효율적인 이온화를 가능하게 한다.
제어기(470)는, 자동적으로 두 개의 소스들에 교번하여 전력공급함으로써 식각 프로세스 동안에 제1 플라즈마(670)와 ICP 플라즈마(692)를 교번하여 에너자이징할 것이다. 제어기(470)는 유사하게 챔버(1001)를 증착 모드에 둘 수 있다.
상기 설명은 제한적인 것이 아닌 예시적인 것으로 의도된 것임을 이해해야 한다. 또한, 상세히 기술된 실시예들 이외의 많은 실시예들이, 상기 설명을 읽고 이해할 때에 당업자들에게 자명해질 것이다. 본 발명이 구체적인 예시적 실시예들과 관련하여 기술되었지만, 본 발명은 기술된 실시예들로 제한되는 것이 아니라, 첨부된 청구항들의 정신 및 범위 내에서 수정 및 변경되어 실시될 수 있음을 인식할 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들을 참조하여, 그러한 청구항들에 권한이 부여된(entitled) 균등물들의 전체 범위와 함께 결정되어야 한다.

Claims (15)

  1. 플라즈마 식각 챔버로서,
    식각 프로세스 동안에 워크피스(workpiece)를 지지하기 위한 척(chuck);
    제1 공급 가스(feed gas)를 제1 챔버 영역 내로 분배하기 위해 상기 척 위에 배치된 제1 샤워헤드 ― 상기 척 및 상기 제1 샤워헤드는, 상기 제1 샤워헤드와 상기 척 사이의 제1 챔버 영역 내에서 상기 제1 공급 가스의 제1 플라즈마를 용량적으로(capacitively) 에너자이징(energizing)하기 위한 제1 RF 결합된 전극 쌍(RF coupled electrode pair)을 형성하고, 상기 척은 RF 전력 소스에 직접 결합됨 ― ;
    상기 척에 대향하여(opposite), 상기 제1 샤워헤드 위에 배치된 2차 전극(secondary electrode) ― 상기 2차 전극 및 상기 제1 샤워헤드는, 상기 제1 샤워헤드와 상기 2차 전극 사이의 제2 챔버 영역 내에서 제2 공급 가스의 제2 플라즈마를 용량적으로 방전(discharge)하기 위한 제2 RF 결합된 전극 쌍을 형성함 ― ; 및
    자동적으로 상기 제1 RF 결합된 전극 쌍 및 상기 제2 RF 결합된 전극 쌍에 교번하여(alternately) 전력공급함(powering)으로써 상기 식각 프로세스 동안에 상기 제1 플라즈마 및 상기 제2 플라즈마를 교번하여 에너자이징하기 위한 제어기;
    를 포함하는,
    플라즈마 식각 챔버.
  2. 제1 항에 있어서,
    상기 2차 전극은 상기 제1 공급 가스 및 상기 제2 공급 가스를 상기 제2 챔버 영역 내로 분배하기 위한 제2 샤워헤드이고, 상기 제1 샤워헤드는 또한 상기 제2 플라즈마로부터의 반응성 종(reactive species) 또는 상기 제1 공급 가스를 상기 제1 챔버 영역에 안내(conduct)하는,
    플라즈마 식각 챔버.
  3. 제1 항에 있어서,
    상기 척과 상기 2차 전극 둘 모두는, 상기 제어기에 의해 스위칭가능한(switchable) 릴레이(relay)를 통해 동일한 RF 전력 소스(power source)에 결합되는,
    플라즈마 식각 챔버.
  4. 제1 항에 있어서,
    상기 척은 하나 또는 그 초과의 RF 발생기(generator)들을 포함하는 제1 RF 전력 소스에 결합되며, 그리고 상기 제1 샤워헤드는, 상기 제1 RF 전력 소스의 주파수 이외의 주파수에서 동작가능한 하나 또는 그 초과의 RF 발생기들을 포함하는 제2 RF 전력 소스와 접지 평면(ground plane) 둘 모두에 릴레이를 통해 선택가능하게(selectably) 결합되며, 상기 릴레이는 상기 제어기에 의해 제어가능한,
    플라즈마 식각 챔버.
  5. 제1 항에 있어서,
    상기 척은 상기 제1 샤워헤드에 대해 수직(normal) 방향으로 이동가능(movable)하거나, 상기 척은 상기 식각 프로세스 동안에 상기 제1 샤워헤드에 의한 상기 워크피스의 가열(heating)을 제어하기 위해 상기 워크피스를 상기 척으로부터 들어올리기 위한 리프터(lifter)를 포함하는,
    플라즈마 식각 챔버.
  6. 제1 항에 있어서,
    상기 제1 샤워헤드는,
    상기 제1 챔버 영역 및 상기 제2 챔버 영역을 유체적으로(fluidly) 결합하는 제1 복수의 어퍼처(aperture)들, 및 상기 제1 챔버 영역을 상기 제2 챔버 영역으로부터 격리된 유체 소스(fluid source)에 유체적으로 결합하는 제2 복수의 어퍼처들을 갖는 듀얼 존(dual zone) 샤워헤드인,
    플라즈마 식각 챔버.
  7. 플라즈마 식각 챔버로서,
    식각 프로세스 동안에 워크피스를 지지하기 위한 척;
    제1 공급 가스를 제1 챔버 영역 내로 분배하기 위해 상기 척 위에 배치된 제1 샤워헤드 ― 상기 척 및 상기 제1 샤워헤드는, 상기 제1 샤워헤드와 상기 척 사이의 제1 챔버 영역 내에서 상기 제1 공급 가스의 제1 플라즈마를 용량적으로 방전하고 상기 척 상에 RF 바이어스 전위를 제공하기 위한 제1 RF 결합된 전극 쌍을 형성함 ― ;
    상기 플라즈마 식각 챔버의 챔버 벽들의 외부에 그리고 상기 척에 대향하여, 상기 제1 샤워헤드 위에 배치된 원격 RF 플라즈마 소스 ― 상기 원격 RF 플라즈마 소스는 상기 척 상에 RF 바이어스 전위를 제공하지 않으면서 상기 원격 플라즈마 소스 내에서 제2 공급 가스의 제2 플라즈마를 방전함 ― ; 및
    자동적으로 상기 제1 RF 결합된 전극 쌍 및 상기 원격 RF 플라즈마 소스에 교번하여 전력공급함으로써 상기 식각 프로세스 동안에 상기 제1 플라즈마 및 상기 제2 플라즈마를 교번하여 에너자이징하기 위한 제어기;
    를 포함하는,
    플라즈마 식각 챔버.
  8. 제7 항에 있어서,
    상기 척은 하나 또는 그 초과의 RF 발생기들을 포함하는 제1 RF 전력 소스에 결합되며, 그리고 상기 제1 샤워헤드는, 상기 제1 RF 전력 소스의 주파수 이외의 주파수에서 동작가능한 하나 또는 그 초과의 RF 발생기들을 포함하는 제2 RF 전력 소스에 결합되며, 상기 제1 샤워헤드는, 챔버 벽에 대해 전기적으로 플로팅(floating)되도록, 유전체 스페이서에 의해, 접지된 챔버 벽으로부터 격리되는,
    플라즈마 식각 챔버.
  9. 제7 항에 있어서,
    상기 원격 RF 플라즈마 소스와 상기 제1 샤워헤드 사이에 배치된 제2 샤워헤드를 더 포함하고, 상기 제2 샤워헤드는 상기 RF 플라즈마 소스에 의해 생성되는 식각 종(etching species)을 분배하는,
    플라즈마 식각 챔버.
  10. 제7 항에 있어서,
    상기 제1 샤워헤드는,
    상기 제1 챔버 영역 및 상기 원격 플라즈마 소스를 유체적으로 결합하는 제1 복수의 어퍼처들, 및 상기 제1 챔버 영역을 상기 원격 플라즈마 소스로부터 격리된 유체 소스에 유체적으로 결합하는 제2 복수의 어퍼처들을 갖는 듀얼 존 샤워헤드인,
    플라즈마 식각 챔버.
  11. 플라즈마 식각 챔버로서,
    식각 프로세스 동안에 워크피스를 지지하기 위한 척;
    제1 공급 가스를 제1 챔버 영역 내로 분배하기 위해 상기 척 위에 배치된 제1 샤워헤드 ― 상기 척 및 상기 제1 샤워헤드는, 상기 제1 샤워헤드와 상기 척 사이의 제1 챔버 영역 내에서 상기 제1 공급 가스의 RF 플라즈마를 용량적으로 방전하고 상기 척 상에 RF 바이어스 전위를 제공하기 위한 제1 RF 결합된 전극 쌍을 형성함 ― ;
    DC 플라즈마 방전을 생성하기 위해 상기 제1 샤워헤드 위에 배치된 전극들의 쌍에 결합된 고 전압 DC 공급기(supply) ― 상기 전극들의 쌍은 유전체 스페이서에 의해 상기 제1 샤워헤드로부터 전기적으로 절연되고, 상기 제1 샤워헤드는 상기 고 전압 DC 공급기에 결합된 상기 전극들의 쌍의 캐소드(cathode)에 대하여 음으로 바이어싱됨(negatively biased) ― ; 및
    자동적으로 상기 제1 RF 결합된 전극 쌍 및 DC 공급기 결합된 전극 쌍에 교번하여 전력공급함으로써 상기 식각 프로세스 동안에 상기 RF 플라즈마 및 상기 DC 플라즈마를 교번하여 에너자이징하기 위한 제어기;
    를 포함하는,
    플라즈마 식각 챔버.
  12. 제11 항에 있어서,
    상기 고 전압 DC 공급기에 결합된 상기 전극들의 쌍의 애노드는 상기 DC 플라즈마 방전으로부터의 전자들을 통과시키기 위한 어퍼처들을 갖는 제2 샤워헤드이고, 상기 제1 샤워헤드는 또한 상기 제1 공급 가스를 안내하거나 상기 전자들을 상기 제1 챔버 영역으로 통과시키는,
    플라즈마 식각 챔버.
  13. 제11 항에 있어서,
    상기 척은 상기 DC 플라즈마로부터 상기 워크피스로의 전자 플럭스(electron flux)를 제어하기 위해 접지 전위와 양의 바이어스(positive bias) 사이의 제어가능한 DC 전위를 갖는,
    플라즈마 식각 챔버.
  14. 제13 항에 있어서,
    상기 제1 샤워헤드와 상기 척 사이에 배치된 씨프 전극(thief electrode)을 더 포함하고, 상기 씨프 전극은 상기 DC 플라즈마로부터 상기 워크피스로의 전자 플럭스를 제어하기 위해 가변 커패시터를 통해 접지되는,
    플라즈마 식각 챔버.
  15. 플라즈마 식각 챔버로서,
    식각 프로세스 동안에 워크피스를 지지하기 위한 척;
    제1 공급 가스를 제1 챔버 영역 내로 분배하기 위해 상기 척 위에 배치된 제1 샤워헤드 ― 상기 척 및 상기 제1 샤워헤드는, 상기 제1 샤워헤드와 상기 척 사이의 제1 챔버 영역 내에서 상기 제1 공급 가스의 RF 플라즈마를 용량적으로 방전하고 상기 척 상에 RF 바이어스 전위를 제공하기 위한 제1 RF 결합된 전극 쌍을 형성함 ― ;
    상기 식각 챔버의 유전체 챔버 리드(dielectric chamber lid) 위에 배치되며, 그리고 상기 유전체 챔버 리드와 상기 제1 샤워헤드 사이에 배치된 제2 챔버 영역 내에서 유도적으로 결합된 플라즈마 방전을 생성하기 위해 RF 소스에 결합되는 전도성 코일; 및
    자동적으로 상기 제1 RF 결합된 전극 쌍 및 상기 전도성 코일에 교번하여 전력공급함으로써 상기 식각 프로세스 동안에 용량적으로 결합된 플라즈마 및 상기 유도적으로 결합된 플라즈마를 교번하여 에너자이징하기 위한 제어기;
    를 포함하는,
    플라즈마 식각 챔버.
KR1020147014069A 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버 KR101962317B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161552183P 2011-10-27 2011-10-27
US61/552,183 2011-10-27
US13/651,074 US9666414B2 (en) 2011-10-27 2012-10-12 Process chamber for etching low k and other dielectric films
US13/651,074 2012-10-12
PCT/US2012/060668 WO2013062831A2 (en) 2011-10-27 2012-10-17 Process chamber for etching low k and other dielectric films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197007964A Division KR102121186B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버

Publications (2)

Publication Number Publication Date
KR20140082850A KR20140082850A (ko) 2014-07-02
KR101962317B1 true KR101962317B1 (ko) 2019-03-26

Family

ID=48168761

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020147014069A KR101962317B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020207009388A KR102223704B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020217043165A KR20220083977A (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020197007964A KR102121186B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020217006126A KR102346730B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020207009388A KR102223704B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020217043165A KR20220083977A (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020197007964A KR102121186B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR1020217006126A KR102346730B1 (ko) 2011-10-27 2012-10-17 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버

Country Status (7)

Country Link
US (4) US9666414B2 (ko)
JP (6) JP6438302B2 (ko)
KR (5) KR101962317B1 (ko)
CN (3) CN106876264B (ko)
SG (2) SG10201709011YA (ko)
TW (1) TWI604524B (ko)
WO (1) WO2013062831A2 (ko)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP6159757B2 (ja) 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
ES2672245T3 (es) * 2015-08-31 2018-06-13 Total S.A. Aparato generador de plasma y procedimiento de fabricación de dispositivos con patrones usando procesamiento de plasma resuelto espacialmente
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
KR102481166B1 (ko) * 2015-10-30 2022-12-27 삼성전자주식회사 식각 후처리 방법
KR20180094109A (ko) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
WO2017136093A1 (en) * 2016-02-05 2017-08-10 Applied Materials, Inc. Integrated layer etch system with multiple type chambers
US10115591B2 (en) * 2016-02-29 2018-10-30 Tokyo Electron Limited Selective SiARC removal
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6606464B2 (ja) 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN110088882B (zh) * 2016-12-14 2023-05-26 玛特森技术公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR20190121864A (ko) * 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR20200123481A (ko) * 2018-03-16 2020-10-29 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020055612A1 (en) * 2018-09-10 2020-03-19 Lam Research Corporation Atomic layer treatment process using metastable activated radical species
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7138529B2 (ja) * 2018-09-28 2022-09-16 東京エレクトロン株式会社 エッチング方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
KR20210042653A (ko) * 2019-10-10 2021-04-20 주성엔지니어링(주) 기판 처리 장치
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11195723B1 (en) * 2020-12-11 2021-12-07 Tokyo Electron Limited Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
KR20230107853A (ko) 2020-12-18 2023-07-18 헤레우스 코나믹 노스 아메리카 엘엘씨 플라즈마 저항성 이트륨 알루미늄 산화물 챔버 구성요소
JP2022098353A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 プラズマ処理装置
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158930A (ko) * 2021-05-25 2022-12-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20230402286A1 (en) * 2022-06-10 2023-12-14 Applied Materials, Inc. Method and apparatus for etching a semiconductor substrate in a plasma etch chamber
JP2024039508A (ja) * 2022-09-09 2024-03-22 東京エレクトロン株式会社 プラズマ処理装置
US20240162043A1 (en) * 2022-11-16 2024-05-16 Tokyo Electron Limited Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
US20100037822A1 (en) * 2007-03-27 2010-02-18 Canon Anelva Corporation Vacuum processing apparatus
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
JP2011525299A (ja) * 2008-05-09 2011-09-15 アプライド マテリアルズ インコーポレイテッド 流動性誘電体の装置およびプロセス

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63219129A (ja) * 1987-03-06 1988-09-12 Shimadzu Corp ドライエツチング装置
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH03129821A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体装置の製造方法
JPH04132219A (ja) * 1990-09-24 1992-05-06 Sony Corp プラズマ処理装置とそれを用いる半導体装置の製造方法
JPH04302426A (ja) * 1991-03-29 1992-10-26 Sony Corp デジタル・エッチング方法
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
JPH06177089A (ja) * 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5895549A (en) * 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
AU5437098A (en) 1996-11-15 1998-06-03 Health Research Inc. A method for inducing apoptosis of primary central nervous system b cell lymphomas
JP3940467B2 (ja) * 1997-06-03 2007-07-04 株式会社アルバック 反応性イオンエッチング装置及び方法
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
JP2001035832A (ja) * 1999-07-16 2001-02-09 Canon Inc ドライエッチング方法
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
JP4718093B2 (ja) * 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
JP3732138B2 (ja) 2001-10-26 2006-01-05 日野自動車株式会社 エンジンの排ガス排出管
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
KR100900587B1 (ko) * 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 기판 처리 방법
JP4231417B2 (ja) 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
JP2006019571A (ja) 2004-07-02 2006-01-19 Toshiba Corp 半導体記憶装置及びその製造方法
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100640950B1 (ko) * 2004-12-29 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR100725037B1 (ko) 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP4862425B2 (ja) * 2006-02-24 2012-01-25 ソニー株式会社 基板処理方法および基板処理装置
JP2007266522A (ja) * 2006-03-30 2007-10-11 Ebara Corp プラズマ処理装置およびそれを用いた加工方法
KR100864111B1 (ko) * 2006-05-22 2008-10-16 최대규 유도 결합 플라즈마 반응기
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
JP2008050055A (ja) 2006-08-26 2008-03-06 Maakisu:Kk ボトル
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7988815B2 (en) 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
TWI488547B (zh) * 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
KR101440415B1 (ko) 2008-07-02 2014-09-17 주식회사 원익아이피에스 진공처리장치
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
CN102931222B (zh) 2011-08-08 2015-05-20 中国科学院微电子研究所 半导体器件及其制造方法
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9325294B2 (en) 2013-03-15 2016-04-26 Resonant Inc. Microwave acoustic wave filters

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
US20100037822A1 (en) * 2007-03-27 2010-02-18 Canon Anelva Corporation Vacuum processing apparatus
JP2011525299A (ja) * 2008-05-09 2011-09-15 アプライド マテリアルズ インコーポレイテッド 流動性誘電体の装置およびプロセス

Also Published As

Publication number Publication date
JP2021184475A (ja) 2021-12-02
CN110289233B (zh) 2023-06-09
TWI604524B (zh) 2017-11-01
KR102223704B1 (ko) 2021-03-04
US20130105303A1 (en) 2013-05-02
JP2014532988A (ja) 2014-12-08
JP6438302B2 (ja) 2018-12-12
JP2018201031A (ja) 2018-12-20
KR20140082850A (ko) 2014-07-02
JP6663457B2 (ja) 2020-03-11
JP2020074452A (ja) 2020-05-14
JP6875452B2 (ja) 2021-05-26
JP2019179921A (ja) 2019-10-17
US9666414B2 (en) 2017-05-30
US20210134618A1 (en) 2021-05-06
JP6787868B2 (ja) 2020-11-18
CN104011837B (zh) 2017-04-12
TW201324613A (zh) 2013-06-16
SG10201709011YA (en) 2017-12-28
WO2013062831A3 (en) 2013-07-11
CN106876264A (zh) 2017-06-20
US11410860B2 (en) 2022-08-09
US10923367B2 (en) 2021-02-16
WO2013062831A2 (en) 2013-05-02
JP7250857B2 (ja) 2023-04-03
KR20190033095A (ko) 2019-03-28
JP2018050055A (ja) 2018-03-29
SG11201400561TA (en) 2014-08-28
KR20200037451A (ko) 2020-04-08
KR20210024261A (ko) 2021-03-04
CN104011837A (zh) 2014-08-27
CN106876264B (zh) 2021-07-20
KR102121186B1 (ko) 2020-06-10
US10096496B2 (en) 2018-10-09
KR20220083977A (ko) 2022-06-21
KR102346730B1 (ko) 2021-12-31
US20170229325A1 (en) 2017-08-10
CN110289233A (zh) 2019-09-27
US20180358244A1 (en) 2018-12-13

Similar Documents

Publication Publication Date Title
US11410860B2 (en) Process chamber for etching low k and other dielectric films
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
KR100775175B1 (ko) 높은 어스펙트비의 반도체장치 에칭방법
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
WO2016133673A1 (en) Gate electrode material residual removal process
WO2018110150A1 (ja) 成膜装置及び成膜方法
Pu Plasma Etch Equipment
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant