CN110289233B - 用于蚀刻低k及其它介电质膜的制程腔室 - Google Patents

用于蚀刻低k及其它介电质膜的制程腔室 Download PDF

Info

Publication number
CN110289233B
CN110289233B CN201910594878.5A CN201910594878A CN110289233B CN 110289233 B CN110289233 B CN 110289233B CN 201910594878 A CN201910594878 A CN 201910594878A CN 110289233 B CN110289233 B CN 110289233B
Authority
CN
China
Prior art keywords
showerhead
chuck
chamber
plasma
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910594878.5A
Other languages
English (en)
Other versions
CN110289233A (zh
Inventor
D·卢博米尔斯基
S·耐马尼
E·叶
S·G·别洛斯托茨基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201910594878.5A priority Critical patent/CN110289233B/zh
Publication of CN110289233A publication Critical patent/CN110289233A/zh
Application granted granted Critical
Publication of CN110289233B publication Critical patent/CN110289233B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明描述用于蚀刻低k及其它介电质膜的方法及制程腔室。举例而言,方法包括以等离子体制程修改低k介电层的部分。在掩模层及低k介电层的未经修改的部分上有选择地蚀刻低k介电层的经修改的部分。描述具有用于交替地产生不同等离子体的多个腔室区域的蚀刻腔室。在实施例中,在一个操作模式中提供第一电荷耦合的等离子体源以产生至工作件的离子流,而在另一操作模式中提供第二等离子体源以提供反应性物质流而无至工作件的显著离子流。控制器操作以随时间重复循环操作模式以移除期望的介电材料的累积量。

Description

用于蚀刻低K及其它介电质膜的制程腔室
本申请是申请日为“2012年10月17日”、申请号为“201710127682.6”、题为“用于蚀刻低K及其它介电质膜的制程腔室”的发明专利申请的分案申请,而发明专利申请“201710127682.6”是申请日为“2012年10月17日”、申请号为“201280048477.6”、题为“用于蚀刻低K及其它介电质膜的制程腔室”的发明专利申请的分案申请。
相关申请案的交叉引用
本申请案主张于2011年10月27日提出申请的标题为“Process Chamber forEtching Low K and Other Dielectric Films”的美国临时申请案第61/552,183号的权益,该申请案的内容在此为所有目的以引用的方式整体并入本文。
技术领域
本发明的实施例涉及微电子元件处理领域,且尤其涉及低k介电质膜的等离子体蚀刻。
背景技术
在半导体制造业中,低k介电质系相对于二氧化硅具有小介电常数的材料。低k介电材料实施系用于允许微电子元件的持续规模化的若干策略中的一者。在数字电路中,绝缘介电质使导电部分(例如,互连电线及晶体管)彼此分隔。随着组件的规模化且晶体管更加靠近在一起,绝缘介电质已薄化至电荷积聚并且串扰不利地影响元件效能的程度。用相同厚度的低k介电质替换二氧化硅降低寄生电容,允许更快的切换速度及更低的热耗散。
然而,因为已经发现此等膜的处理(特别是此等膜的蚀刻)会损坏材料及/或致使材料不稳定或不适于元件制造,所以在低k介电质处理技术的发展中需要显著改良。
附图说明
本发明的实施例系以举例方式而非限制地图示于随附图式的诸图中,其中:
图1系图示根据本发明的实施例的用于以单个等离子体蚀刻腔室来蚀刻低k介电质膜的多操作模式蚀刻制程的流程图;
图2系根据一实施例的流程图,进一步说明蚀刻腔室如何在由图1所图示的蚀刻制程所使用的多个模式中操作;
图3A、图3B、图3C、图3D、图3E及图3F图示根据本发明实施例的横截面图,说明多操作模式蚀刻制程100的方法对暴露于制程的示例性工作件的效果;
图4系根据实施例的多腔室处理平台的平面图,该多腔室处理平台可经配置以包括一或多个蚀刻腔室以执行图1所图示的多操作模式蚀刻制程;
图5A图示根据实施例的双区喷淋头的切口透视图,该双区喷淋头可用于蚀刻腔室中以执行图1所图示的多操作模式蚀刻制程;
图5B图示根据本发明实施例的图5A的切口透视图的放大部分;
图6A图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的修改操作;
图6B图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的蚀刻操作;
图6C图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的沉积操作;
图7图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的修改操作;
图8A图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的修改操作;
图8B图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的蚀刻操作;
图8C图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的沉积操作;
图9A图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的修改操作;
图9B图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的蚀刻操作;
图9C图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的蚀刻制程的沉积操作;及
图10图示根据实施例的蚀刻腔室的横截面图,该蚀刻腔室经配置以执行图1所图示的各个操作。
具体实施方式
总体而言,此处描述的等离子体蚀刻方法的实施例使用破坏机制来蚀刻低k(及其它介电质)材料并留下状况良好的剩余的经蚀刻膜。此处描述的等离子体蚀刻方法的实施例循环地真空(亦即,不破坏真空)执行至少二次单独的基于等离子体的操作,并优选地系在相同腔室中执行以获得最大产量优势。在此等操作的一个操作中,各向异性(定向的)等离子体将介电质膜的正被蚀刻的一部分的块状结构及/或组成修改成更似二氧化硅(SiO2)或子氧化硅(silicon sub-oxide;SiOx)。此膜修改操作可概念化为可控制地及有选择地用第一等离子体条件破坏一部分介电质膜。在此等操作的第二个操作中,各向同性(不定向的)条件有选择地移除在具有块状性质的下层介电质膜上方的经修改膜部分(具有经修改的结构或组成)。可顺序地且重复地执行此等操作以实现膜移除的任一期望的累积量(亦即,实现期望的蚀刻深度)。通过将块状膜蚀刻分隔成两个不同操作或操作模式,等离子体条件的设计以及提供那些条件的蚀刻腔室的设计具有明显更高的自由度及/或更大的制程窗。
介电质膜蚀刻制程区分成至少这两个独立操作模式亦提供对蚀刻参数的控制程度,此控制程度允许将各向异性剖面(profile)蚀刻成低k或其它介电质膜,并有利地极小修改在邻近于蚀刻特征结构的区域中的介电质膜组成(例如,暴露于等离子体蚀刻并不负面影响侧壁)。此精确控制的重要来源来自本质上具高化学性质的各向同性蚀刻条件,且因而在具有偏离SiO2性质的块状性质(例如,在一定程度上结合碳)的下层介电质之间提供非常高的选择性。尽管在两种材料组成物之间的高选择性系经常用于在消耗第一材料层后停止蚀刻(例如,在多材料沉积膜堆迭中作为一种终止对层的蚀刻的方式,该层具有可蚀刻的组成,该层下有下层蚀刻停止层,该下层蚀刻停止层具有不可蚀刻的组成),此处的技术采用对块状膜本身为高选择性的蚀刻制程来渐进地蚀刻穿过块状膜。
在实施例中,多操作模式蚀刻制程完全不含碳氟化合物。尽管习知介电质蚀刻依赖沉积在经蚀刻的介电层的侧壁上的CF聚合物来实现蚀刻各向异性,但此处的方法经由膜修改制程(模式)的各向异性结合膜蚀刻制程(模式)的高选择性来实现蚀刻各向异性。避免通常基于碳氟化合物(基于CxFy)的蚀刻制程以及伴随的CF聚合物致使任一钝化聚合物的经蚀刻介电质表面相对较清洁。因而,可避免通过等离子体或可破坏介电质(例如,经由膜中的碳物质的氧化)的其它构件进行的后蚀刻处理(post-etch treatment;PET)。
现提供蚀刻方法、如何可在单个腔室中执行此方法以及适于执行此蚀刻方法的实施例的腔室硬件的更详细描述。首先描述蚀刻方法,图1系图示根据本发明的实施例的以单个等离子体蚀刻腔室来蚀刻低k介电质膜的多操作模式蚀刻制程100的流程图。图3A至图3F图示表示根据本发明的实施例的多操作模式蚀刻制程100的方法对暴露于制程的示例性工作件的效果的横截面图。
从操作105开始,将工作件载入等离子体处理腔室中。尽管工作件大体可采取任何形式,但在第3A图提供的说明性实施例中,工作件包括基板302,将要蚀刻的介电质设置在该基板302上。基板302可具有适于承受制造制程的任一材料且作为可设置及/或形成微电子元件(诸如,针对积体电路、光学、太阳能、微机电系统,或类似的微/毫微制造元件)层的基础。根据本发明的实施例,基板302由基于第IV族的材料组成,该等材料诸如(但不限于)结晶硅、锗或硅/锗。在具体实施例中,基板302是单晶硅基板。在另一实施例中,基板302由III-Ⅴ族材料组成。在另一实施例中,多个有源元件设置在标定为基板302的区域内。
工作件进一步包括待蚀刻的暴露的介电质。在图1及图3A至图3F所图示的示例性实施例中,暴露的介电质为低k材料,但更大体而言可为非二氧化硅但通过此处描述的机制可修改为更似氧化硅(SiOx)的材料的任一材料。在图3A所图示的示例性实施例中,低k介电层304具有小于二氧化硅的介电系数(例如,小于约3.9)的介电系数。在进一步实施例中,低k介电层304系诸如(但不限于)以下的材料:氟掺杂二氧化硅、碳掺杂二氧化硅、多孔二氧化硅、多孔碳掺杂二氧化硅、基于旋涂式硅氧烷(silicone)的聚合介电质,或旋涂式有机聚合介电质。根据一个说明性实施例,低k介电层304为具有小于2.7的块状介电常数的多孔SiCOH层。
尽管多操作模式蚀刻制程100适用于无掩模蚀刻,例如在底下的拓扑结构用于形成低k介电层中的特征的蚀刻中(例如,低k间隔物蚀刻),但在说明性实施例中,遮住(mask)低k介电层304(例如,针对通孔或渠沟蚀刻)。如图3A所图示,掩模层306是设置在低k介电层304的一部分上的光刻胶层或硬掩模层。光刻胶可为本领域中已知的任何光刻胶(例如,193、EUV等)。类似地,在掩模层306为硬掩模时,可使用本领域中已知的能够向SiOx蚀刻制程提供期望的选择性的任何材料。示例性材料包括:无定形碳(例如,
Figure BDA0002117268620000051
)、硅或金属(例如,钛或钽)的氮化物、硅或金属的碳化物等。
回到图1,在操作110处,用离子流(ion flux)轰击工作件的暴露部分以改变暴露材料层的性质,且更特定而言降低低k膜的顶部厚度中的碳含量。离子流优选地为各向异性的以使掩模下方的区域不暴露于该离子流。离子流可具有具低离子能量的一或多个类型的原子物质或分子物质。因而,在一个有利的实施例中,该等物质将机械地研磨低k材料中的组分(例如,去除甲基)而非与低k材料中的该等组分进行化学性地反应,由此离子流将来源于与目标组分具有相对低的化学反应性的源气体。示例性离子物质包括:氦离子、氖离子、氙离子、氮离子,或氩离子(该氩离子优选地具有Ar+,因为Ar+具有低游离电位(例如,2-4eV)),以可提供极低等离子体直流偏压来降低离子流的能级。正电性稀释剂(如氖及氦)亦可添加至氩环境以进一步调和离子流能量。制程压力有利地低于10mTorr以获得多定向性,且更有利地低于5mTorr。已发现约为50W至100W(取决于馈送气体的游离电位)的低射频功率对于通过自氧化硅基质击出碳物质来修改低k介电质膜是有利的。
图3B图示操作110对工作件的效果。如图所示,离子流307形成低k介电层304的经修改的部分308。在实施例中,经修改的部分308耗尽了碳,由此相对于低k介电层304的未经修改的块状部分富集SiOx。亦可改变与低k介电层304有关的经修改的部分308的膜密度及形态。举例而言,可在操作110期间致密化或通过离子轰击来机械地破坏(例如,粗糙化)经修改的部分308。取决于离子流,经修改的部分308的深度可总计为
Figure BDA0002117268620000052
或更小。
回到图1,在操作120处,干式蚀刻制程用于在下层块体上方有选择地移除低k介电层的SiOx富集的经修改的部分(或图3C中的低k介电层304的未经修改的部分304B)。因为已移除的经修改的部分约为低k介电质膜中的分子组分的尺寸,所以应将蚀刻操作120视为原子层蚀刻或分子级别蚀刻(molecular level etching;MLE)。在一个实施例中,操作120必需自至少三氟化氮(NF3)及氢气源(诸如氨(NH3)或水汽(H2O))产生的等离子体以产生反应性蚀刻物质NH4F及/或NH4F·HF。在进一步实施例中,在操作120处伴随NF3及NH3提供水汽(H2O)以进一步提高SiOx蚀刻速率。亦可在操作120期间使用非反应性气体(例如,He)。
在另一实施例中,蚀刻制程100使用siconi类型的蚀刻技术,需要将在操作120期间执行的二步骤机制,在共同让渡的美国专利申请案第12/620,806号中更详细地进一步描述该siconi类型的蚀刻技术。在此实施例中,在较低的第一工作件温度(例如,30℃)下形成水汽(H2O)及薄固体硅酸盐蚀刻副产物(例如,(NH4)2SiF6)且然后在较高的第二工作件温度(例如,100℃)下自工作件升华硅酸盐。然而在某些实施例中,例如在需要较高的蚀刻速率时,在固定的升高的工作件温度下执行siconi蚀刻。在无循环基质温度的其它额外负担的情况下,为了获得更高的蚀刻速率可更快速地循环蚀刻制程100。优选地,操作120处的固定工作件温度系在约80℃与100℃之间。尽管用于方法100的硬掩模及无掩模实施例的较高温度是可能的,但在操作120处用于使用光刻胶的实施例的最大固定工作件温度低于约120℃以避免网状结构。在某些实施例中,在固定高温下执行操作110及操作120两者以避免关于循环工作件温度的任何额外负担。
回到图1,蚀刻制程控制器决定在完成操作120后是否满足蚀刻制程终止标准。蚀刻制程终止标准可基于制程持续时间、端点讯号(光学或其它)等。若满足了蚀刻制程终止标准,则制程100完成并自腔室卸载工作件(操作150)。若还未满足蚀刻制程终止标准,则通过回到操作110启动后续迭代(iteration)。
对于进一步实施例,在操作130处将低温保形硅基介电层沉积在工作件上。可在蚀刻制程100期间周期性地执行沉积操作130,例如以抵消由修改操作110引起的任一剖面底切或弓形,该修改操作110由于离子流的非理想的无碰撞传送模式而具不完美的各向异性。如图1所图示,沉积操作130仅在一条件下执行,此条件即在每一蚀刻循环必需单次执行操作110及操作120两者的情况下已满足蚀刻循环计数临限值。因而,对于以预定比率或工作循环将蚀刻操作与沉积操作交错在一起的“多X”循环过程,可以每个蚀刻循环(蚀刻循环计数临限值为1)或以某一较低的速率(蚀刻循环计数临限值大于1)执行沉积操作130。
如在图3D中进一步图示,沉积操作130形成保护层312,保护层312至少形成在由蚀刻操作120暴露的块状低k介电质304B的侧壁上。保护层312的厚度取决于相对于蚀刻操作120执行操作130的频率而可变化很大。一般而言,沉积操作130需要保形沉积制程以确保侧壁覆盖。在实施例中,保形沉积制程为低温制程(例如,低于130℃)以保存覆盖的掩模材料(例如,光刻胶)。在实施例中,保护层312为二氧化硅层。然而,在一个有利的实施例中,保护层312为碳掺杂的氧化硅层。碳掺杂层的沉积可有利地增大保护层130对蚀刻操作120的阻力,以使经由蚀刻操作120的后续迭代不会完全移除保护层130,特别是不会从渠沟310的侧壁完全移除保护层130。在又一实施例中,保护层312为氮化硅层。对于保护层130提供对蚀刻操作120的选择性的碳掺杂实施例及氮化物实施例,可使蚀刻循环计数临限值更大以得到较大部分消耗在蚀刻上的制程100,并增大总低k介电质蚀刻速率。
取决于实施例,可在操作130处使用任何普遍已知的硅前驱物,该等硅前驱物诸如,但不限于:四氟化硅(SiF4)、四氯化硅(SiCl4)、硅烷(SiH4),或任何普遍已知的含硅碳化前驱物,诸如,但不限于:八甲基环四硅氧烷(octamethylcyclotetrasiloxane;OMCTS)、四甲基二硅氧烷(tetramethyl-disiloxane;TMDSO)、四甲基环四硅氧烷(tetramethylcyclotetrasiloxane;TMCTS)、四甲基二乙氧基二硅氧烷(tetramethyl-diethoxyl-disiloxane;TMDDSO)、二甲基二甲氧基硅烷(dimethyl-dimethoxyl-silane;DMDMS)。在进一步实施例中,在保护层为氮化物时,可使用诸如,但不限于,三硅胺烷(trisillylamine;TSA)及二硅胺烷(disillylamine;DSA)的前驱物。在PECVD制程中,此等源的任何源可与氧自由基源,诸如,但不限于:氧(O2)、臭氧(O3)、二氧化碳(CO2),或水(H2O)反应。
在操作130之后,通过回到操作110来执行后续迭代。以此方式,穿过目标膜渐进地推进蚀刻前部(如在图3E及图3F所进一步图示)以形成逐步加深的渠沟310B。
图2为一流程图,进一步说明蚀刻腔室如何在蚀刻制程100的多个模式中操作。方法200自操作205处于腔室中接收工作件开始。在设置于距工作件最近的喷淋头下方的腔室的第一区域中激发离子研磨等离子体。射频源在工作件上提供直流偏压电位以产生本文其它处描述的离子流以用于修改操作110。在实施例中,经由基座或夹盘电容耦合射频源以在直接位于工作件上的第一腔室区域中产生等离子体,该基座或夹盘支撑该工作件。在一个此类实施例中,自夹盘(亦即,夹盘系射频驱动的)发起电容耦合的等离子体(capacitivelycoupled plasma;CCP)且距工作件最近的喷淋头提供射频返回路径(亦即,作为阳极)。
在操作220期间,在腔室的第二区域中激发SiO蚀刻等离子体,以诱导离子流至工作件的方式来最小化或避免对工作件偏压。在一个实施例中,为了致使蚀刻操作220具高化学性质,将第二腔室区域设置在距工作件最近的喷淋头上方,因此第二腔室距工作件比距在操作210期间产生的离子研磨等离子体相对更远。在实施例中,在操作220期间,基座或夹盘未经射频供电,以最小化工作件偏压电位。在操作220处使用远端及/或软游离技术以形成用于本文其它处描述的蚀刻操作120的反应性物质而不在工作件上形成显著的偏压电位。在一个此类实施例中,从设置在自晶圆与喷淋头相对的侧上的电极到距工作件最近的喷淋头或从该喷淋头到该电极(例如,从或到距工作件最近的喷淋头上方的电极)开始第二CCP。在另一实施例中,在蚀刻操作120期间使用直流放电作为用于软游离的电子源。在替代性实施例中,在腔室的第二区域中使用远端等离子体源(RPS)形成等离子体。在又一实施例中,在腔室的第二区域中使用感应耦合的等离子体(ICP)形成等离子体。在本文其它处进一步描述用于此等实施例的每一实施例的蚀刻腔室硬体配置。
对于沉积保护层(例如,在图1中的操作130)的实施例,在腔室的远端第二区域中产生氧化等离子体并将含硅(及碳)前驱物引入至腔室中(例如引入至第一腔室区域中)以与传送到工作件的氧化物质反应。因而,可使用等离子体蚀刻腔室的第一区域及第一操作模式用于修改低k介电质膜的部分厚度,且可使用等离子体蚀刻腔室的第二区域及第二操作模式用于蚀刻低k介电质膜的经修改的厚度。可以第三操作模式进一步操作第二区域以沉积保护层。
对于使用siconi类型的制程的实施例,siconi类型的蚀刻的两个阶段可进一步需要在蚀刻腔室的不同区域中发起并产生的两种不同的等离子体。举例而言,可使用第一腔室区域及第二腔室区域两者执行siconi类型的制程,或可使用第二腔室区域及第三腔室区域执行siconi类型的制程。
如图4中所图示,按在本文其它处所描述而配置的一或多个低k蚀刻腔室405耦接至整合平台以形成多腔室处理系统。可通过在图4中图示的多腔室系统中的低k蚀刻腔室405的每一低k蚀刻腔室405执行描述用于多操作模式蚀刻制程100的实施例的一或多个实施例。参照图4,多腔室处理平台400可为本领域中已知的能够适应性地同时控制多个制程模块的任何平台。示例性实施例包括OpusTM AdvantEdgeTM系统、ProducerTM系统或CenturaTM系统,所有该等系统全部可购自美国加州圣克拉拉市的应用材料公司。
处理平台400可进一步包括整合测量(IM)腔室425以提供控制信号来允许对此处描述的任何蚀刻制程进行适应性控制。IM腔室425可包括本领域中普遍已知的测量各个膜性质(诸如,厚度、粗糙度、组成)的任何测量法,且IM腔室425可进一步能够以自动化方式在真空下特征化光栅参数(诸如,临界尺寸(CD)、侧壁角度(SWA)、特征结构高度(HT))。如在图4中所进一步图示,多腔室处理平台400进一步包括固持前开式统集盒(front openingunified pod;FOUP)435及445的负载锁定腔室430,负载锁定腔室430耦接至具有机器人机械手450的移送腔室401。
随着在低k蚀刻腔室405中执行的蚀刻制程与制程100的每一循环迭代地进行,低k蚀刻腔室405可自动地循环通过制程200,致动中继器以将射频源耦接至不同电极及/或操作单独地耦接至不同电极的不同射频源以在操作模式之间调变。可通过一或多个控制器470提供对低k蚀刻腔室405的此控制。控制器470可为任一形式的通用数据处理系统的一个通用数据处理系统,该通用数据处理系统可用于控制各个子处理器及子控制器的工业环境中的。一般而言,控制器470包括与存储器473及输入/输出(I/O)电路系统474通讯的中央处理单元(CPU)472,亦包括其它常见组件。由CPU 472执行的软件指令使多腔室处理平台400(例如)将基板载入到低k蚀刻腔室405中、执行多操作模式蚀刻制程200,并自低k蚀刻腔室405卸载基板。如本领域所知,提供机器人的机械手450或负载锁定腔室430的额外控制器来管理多个低k蚀刻腔室405的整合。
本文其它处详细描述的蚀刻制程腔室的一或多个蚀刻制程腔室可使用用于分配及输送流体(反应性物质、气体等)至工作件的习知喷淋头或“双区”喷淋头(“dual zone”showerhead;DZSH)。尽管DZSH的详细描述可见于共同转让的美国专利第12/836,726号,但图5A及图5B图示可有利地用于多操作模式等离子体蚀刻腔室的特定实施例中的DZSH 500的一些特征结构。图5A图示DZSH的切口透视图且图5B图示图5A的切口透视图的放大部分。如图所示,DZSH 500包括具有多个第一孔514的上歧管及具有多个第二孔524的下歧管。第一流体流F3在进入设置在DZSH 500下方的处理区域之前经由孔514、中心歧管中的第二开口524及底歧管中的第二开口534穿过喷淋头。第二流体流F4穿过通道网络至第二气体通道538的一或多个第二气体通道538并经由孔542传送至处理区域。第一流体及第二流体在DZSH中彼此隔离直到该第一流体及该第二流体分别传送进处理区域。因而,可以激发状态提供第一流体(例如,作为自由基物质或离子物质)而第二流体可以未反应状态及/或未激发状态来提供。
在实施例中,等离子体蚀刻腔室包括耦接至DZSH的等离子体源。在一个实施例中,“Siconi蚀刻”源可自Siconi蚀刻/预清洗腔室(可购自应用材料公司)进行适应性改变,以提供用于此处描述的多个操作模式腔室的至少一种等离子体。举例而言,Siconi蚀刻源可提供以下等离子体中的至少一个:实施离子研磨操作(例如,图1的110)的第一电容性等离子体源,以及实施本文描述的蚀刻操作(例如,图1的120)及/或可选的沉积操作(例如,图1的130)的第二电容耦合的等离子体源。
图6A、图6B及图6C图示根据实施例的蚀刻腔室的横剖面图,该蚀刻腔室被配置为多个操作模式(状态)以执行蚀刻制程100中的操作的每一操作。一般而言,蚀刻腔室601包括实施离子研磨操作的第一电容耦合的等离子体源、实施蚀刻操作及实施可选的沉积操作的第二电容耦合的等离子体源。图6A图示根据实施例的蚀刻腔室601的横截面图,该蚀刻腔室601经配置以执行(图1)修改操作110。蚀刻腔室601具有环绕夹盘650的接地的腔室壁640。在实施例中,夹盘650为在处理期间夹持工作件302至夹盘650的顶表面的静电夹盘(ESC),不过亦可使用本领域中已知的其它夹持机构。
夹盘650包括嵌入的热交换器线圈617。在示例性实施例中,热交换器线圈617包括一或多个传热流道,传热流体(诸如乙二醇/水混合物、
Figure BDA0002117268620000101
或/>
Figure BDA0002117268620000102
等)可通过该等一或多个传热流道以控制夹盘650的温度并最终控制工作件302的温度。
夹盘650包括耦接至高压直流电源648的网格649以使网格649可携有直流偏压电位以实施工作件302的静电夹紧。夹盘650耦接至第一射频功率源且在一个此类实施例中,网格649耦接至第一射频功率源以使直流电压偏移及射频电压电位两者耦接在夹盘650顶表面上的薄介电层上。在说明性实施例中,第一射频功率源包括第一射频产生器652及第二射频产生器653。射频产生器652、653可以本领域中已知的任一工业频率操作,然而在示例性实施例中射频产生器652以60MHz操作以提供有利的定向性。在亦提供第二射频产生器653时,示例性频率为2MHz。
在夹盘650经射频供电的情况下,通过第一喷淋头625提供射频返回路径。第一喷淋头625设置在夹盘上方以分配第一馈送气体进入由第一喷淋头625及腔室壁640界定的第一腔室区域684。因而,夹盘650及第一喷淋头625形成第一射频耦合电极对以电容激发第一腔室区域684内的第一馈送气体的第一等离子体670。由射频功率夹盘的电容耦合引起的直流等离子体偏压(亦即,射频偏压)产生从第一等离子体670至工作件302的离子流(例如,在第一馈送气体系Ar时为Ar离子)以提供离子研磨等离子体(例如,在图2中的操作220)。第一喷淋头625可为接地的或耦接至射频源628,射频源628具有可以不同于夹盘650的频率的一频率(例如,13.56MHz或60MH)操作的一或多个产生器。在所图示的实施方式中,第一喷淋头625经由中继器627可选择地耦接至接地或耦接至射频源628,在蚀刻制程期间可(例如)由控制器470自动地控制中继器627。
如在图6A中进一步图示,蚀刻腔室601包括能够在低制程压力下具有高产量的泵堆。在实施例中,至少一个涡轮分子泵665、666经由闸阀660耦接至第一腔室区域684并设置在夹盘650下方,与第一喷淋头625相对。一或多个涡轮分子泵665、666可为具有适合的产量的任何可购得的涡轮分子泵且更特定而言系经适当地调整尺寸以在第一馈送气体的期望的流动速率下(例如,Ar的50sccm至500sccm)维持低于10mTorr且优选地低于5mTorr的制程压力。在图6A图示的实施例中,夹盘650形成在两个涡轮泵665及666之间中心处的基座的一部分,然而在替代性配置中,夹盘650可在基座上,该基座通过具有中心与夹盘650的中心对准的单个涡轮分子泵自腔室壁640悬臂支撑。
设置在第一喷淋头625上方的为第二喷淋头610。在一个实施例中,在处理期间,第一馈送气体源(例如氩瓶690)耦接至气体入口676,且第一馈送气体流经延伸穿过第二喷淋头610的多个孔680,进入第二腔室区域681,并经由延伸穿过第一喷淋头625的多个孔682进入第一腔室区域684。具有孔678的额外流分配器615可进一步分配第一馈送气体流616遍及蚀刻腔室601的直径。在替代实施例中,第一馈送气体经由与第二腔室区域681隔离的孔683直接流动进入第一腔室区域684(由虚线623指示)。举例而言,在第一喷淋头系DZSH时,孔683对应于图5B中的孔542。
图6B图示根据实施例自图6A中图示的状态经重新配置以执行图1的蚀刻操作120的蚀刻腔室601的横截面图。如图所示,第二电极605设置在第一喷淋头625上方,在第一喷淋头625与第二电极605之间具第二腔室区域681。第二电极605可进一步形成蚀刻腔室601的盖。第二电极605与第一喷淋头625通过介电质环620电绝缘并形成第二射频耦合电极对以使第二腔室区域681内的第二馈送气体的第二等离子体691电容放电。有利地,第二等离子体691不在夹盘650上提供显著的射频偏压电位。如图6B所图示,第二射频耦合电极对的至少一个电极耦接至射频源用于激发图2中的操作220处的蚀刻等离子体(在图1中的蚀刻操作120期间)。第二电极605电耦合至第二喷淋头610。在优选实施例中,第一喷淋头625耦接至接地平面或为浮动的(floating)并可经由中继器627耦接至接地,中继器627允许第一喷淋头625在离子研磨操作模式期间亦由射频电源628供电。在第一喷淋头625为接地的情况下,尽管若第一喷淋头625被供电,亦可使第二电极605为浮动的,但具有以例如13.56MHz或60MHz操作的一或多个射频产生器的射频电源608经由中继器607耦接至第二电极605,中继器607将允许第二电极605在其它操作模式期间(例如,在离子研磨操作110期间)亦为接地的。
第二馈送气体源(诸如NF3瓶691)及氢气源(诸如NH3瓶692)耦接至气体入口676。在此模式中,第二馈送气体流经第二喷淋头610并在第二腔室区域681中受激发。反应性物质(例如,NH4F)随后进入第一腔室区域684以与工作件302反应。如进一步图示,对于第一喷淋头625系DZSH的实施例,可提供一或多种馈送气体以与由第二等离子体691产生的反应性物质反应。在一个此类实施例中,水源693可耦接至多个孔683。
在实施例中,夹盘650在与第一喷淋头625垂直的方向上可移动距离ΔH2。夹盘650在由诸如波纹管655等环绕的致动机构上,以允许夹盘650移动更靠近或更远离第一喷淋头625作为控制夹盘650与第一喷淋头625之间的传热(处于80℃-150℃或以上的经提升的温度)的方式。因而,可通过在相对于第一喷淋头625的第一预定位置与第二预定位置之间移动夹盘650来实施siconi蚀刻制程。或者,夹盘650包括升降机以提升工作件302离开夹盘650的顶表面一距离ΔH1以在蚀刻制程期间控制第一喷淋头325的加热。在其它实施例中,在以固定温度(例如,约90℃-110℃)执行蚀刻制程时,可避免夹盘位移机构。
控制器470在蚀刻制程期间通过交替地自动供电第一及第二射频耦合电极对来交替地激发第一等离子体670及第二等离子体691。
图6C图示根据实施例经重新配置以执行图1所图示的沉积操作130的蚀刻腔室601的横截面图。如图所示,在第二腔室区域681中由射频放电产生第三等离子体692,可以描述用于第二等离子体691的方式中的任一方式实施该射频放电。在第一喷淋头625在沉积期间被供电以产生第三等离子体692时,第一喷淋头625经由介电隔片630与接地的腔室壁640绝缘以使第一喷淋头625相对于腔室壁为电气浮动的。在示例性实施例中,氧化剂(O2)馈送气体源694耦接至气体入口676。在第一喷淋头625系DZSH的实施例中,本文其它处描述的含硅前驱物中的任一含硅前驱物(例如,OMCTS源695)可耦接进入第一腔室区域684以与自第二等离子体692通过第一喷淋头625的反应性物质反应。或者,含硅前驱物亦伴随氧化剂流动通过气体入口676。
图7图示根据实施例的蚀刻腔室701的横截面图,该蚀刻腔室701经配置以执行修改操作110。如图所示,蚀刻腔室701具有悬臂式夹盘650及中心与夹盘650的中心对准的单个涡轮泵665。如进一步图示,第一喷淋头625系接地的而夹盘650及第二电极605两者经由中继器607耦接至相同的射频源以在离子研磨210与蚀刻操作220之间交替夹盘650与第二电极605之间的驱动电极以分别实施修改操作110与蚀刻操作120,其中等离子体的位置以描述在腔室601内容中的方式于第一腔室区域684与第二区域681之间变化。或者,射频源608可独立于供电夹盘650的射频源(例如,产生器652及653的一或多个产生器)而供电第二电极,其中等离子体的位置以描述在腔室601内容中的方式于第一腔室区域684与第二区域681之间变化。
图8A图示根据实施例的蚀刻腔室801的横截面图,该蚀刻腔室801经配置以执行图1所图示的蚀刻制程的修改操作110。一般而言,蚀刻腔室801包含实施离子研磨操作的第一电容耦合的等离子体源、实施蚀刻操作的远端等离子体源,以及实施沉积操作的可选第二电容耦合的等离子体源。
蚀刻腔室801包括设置在第一喷淋头625上方与夹盘650相对的远端射频等离子体源823。在离子研磨操作模式中,蚀刻腔室801提供实质上如描述用于蚀刻腔室601的第一腔室区域684内的电容耦合的第一等离子体670。在图示的实施方式中,夹盘650耦接至第一射频电源(射频产生器652及653),且第一喷淋头625经由中继器607B可选择地耦接至接地或第二射频电源,该第二射频电源包含一或多个射频产生器608,该一或多个射频产生器608可在不同于第一射频电源652、653的频率的一频率下操作。在供电第一喷淋头625时,第一喷淋头625经由介电隔片630与接地的腔室壁640绝缘以使第一喷淋头625相对于腔室壁640为电气浮动的。对于供电第一喷淋头625的实施例,第二喷淋头610与第二电极605可电连接至与第一喷淋头625相同的电位。
图8B图示根据实施例的蚀刻腔室801的横截面图,该蚀刻腔室801自图8A图示的蚀刻腔室经重新配置以执行图1所图示的蚀刻操作120。如图8B中图示,在蚀刻操作模式中,远端射频等离子体源823将使经由气体入口824提供的第二馈送气体的第二等离子体693放电。在一个示例性实施例中,远端射频等离子体源823与第一喷淋头625两者经由可由控制器控制的中继器607A耦接至相同的射频电源821以交替地供电第一等离子体670与远端等离子体693。将在不于夹盘650上置放显著的射频偏压电位的情况下产生远端等离子体693。在优选实施例中,第一喷淋头625系接地的或浮动的。第二馈送气体源691、692(NF3、NH3)耦接至气体入口824,伴随反应性物质(例如,NH4F)随后流动穿过第一喷淋头625。如本文其它处描述,额外流体分配可具备第二喷淋头610及/或流体分配器615。在第一喷淋头625包括DZSH的实施例中,可经由孔683提供水蒸气693以使水蒸气693与经由孔682进入第一腔室区域684的反应性物质反应。
图8C图示根据实施例的蚀刻腔室801的横截面图,该蚀刻腔室自图8A及图8B图示的状态经重新配置以执行图1所图示的沉积操作130。如图8C中图示,尽管在沉积操作模式中,但夹盘650仍耦接至第一射频电源,该第一射频电源包含可为无供电(例如,浮动的)的一或多个射频产生器652、653。第一喷淋头625耦接至包含一或多个射频产生器608的第二射频电源,该一或多个射频产生器608可处于不同于射频产生器652的频率的频率下(例如,13.56MHz)。在第一喷淋头625经由介电隔片630与接地的腔室壁640绝缘并经由介电隔片620进一步与第二喷淋头610绝缘的情况下,到第一喷淋头625的射频电源将在第二腔室区域681中产生(例如,诸如O2 694的氧化源气体的)第三等离子体692。在一个示例性实施例中,第一喷淋头625与远端射频等离子体源823两者经由可由控制器470控制的中继器607A耦接至相同的射频电源821以交替地供电蚀刻与沉积(例如,分别为图1中的操作120及操作130)之间的第三等离子体692与远端等离子体693。
控制器470将在蚀刻制程期间通过交替地自动供电两个源来交替地激发第一等离子体670与远端等离子体693。控制器470可类似地使腔室801进入沉积模式。
图9A图示根据实施例的蚀刻腔室901的横截面图,该蚀刻腔室901经配置以执行图1所图示的修改操作110。一般而言,蚀刻腔室901包含实施离子研磨操作的电容耦合的等离子体源,以及实施蚀刻操作及实施可选的沉积操作的电子束源。如图9A中所图示,在第一喷淋头625设置在夹盘650上方的情况下提供实质上如本文其它处描述的电容性放电以分配第一馈送气体690进入第一腔室区域684。夹盘650与第一喷淋头625形成第一射频耦合电极对以使第一馈送气体(例如,Ar)的射频等离子体670电容放电。
图9B图示根据实施例经重新配置以执行图1所图示的蚀刻操作120的蚀刻腔室901的横截面图。如图所示,高压直流电源943耦接至第二电极605与第二喷淋头610以形成设置在第一喷淋头625上方的直流电极对以在直流电极之间的腔室区域中产生直流辉光(glow)放电618。直流电极对经由介电隔片620与第一喷淋头625电绝缘。第一喷淋头625经由介电隔片630进一步与腔室壁640绝缘以允许对第一喷淋头625的控制。
在操作期间,以阴极直流电位(例如4-8kV)偏压第二电极605而以阳极电位(例如,-100V至-200V)偏压第二喷淋头610。来自产生自第一馈送气体(例如,Ar瓶690)的直流辉光放电618的电子通过孔680进入第二腔室区域681。第一喷淋头625亦经由中继器耦接至直流电源(例如耦接至第二喷淋头610),以使第一喷淋头625相对于第二电极605的阴极电位负偏压至阳极电位。第一喷淋头625上的负偏压允许电子通过第一喷淋头625并进入第一腔室区域684。为了进一步推进此目的,第一喷淋头625可具有大洞。以此方式,“电子束”源系软游离第一腔室区域684中的馈送气体(例如,在DZSH实施例中由孔683提供的NF3及NH3)以提供反应性蚀刻物质(例如,NH4F等)而在工作件302上无显著偏压的构件。
如在图9B进一步图示,尽管夹盘650在离子研磨模式期间耦接至射频源(产生器652及653),但夹盘650亦可在蚀刻操作及沉积操作的一者或两者期间维持在接地电位或阴极电位。提供于接地电位与正偏压之间的可控制的可变夹盘电位963将控制从直流辉光放电618到工作件302的电子通量(electron flux)。在进一步实施例中,蚀刻腔室901包括设置在第一喷淋头625与夹盘650之间的分流电极(thief electrode)947。分流电极625经由可变电容器964耦接至接地以进一步控制至工作件302的电子通量。如图所示,分流电极947经由第一介电隔片630与第一喷淋头625绝缘并经由第二介电隔片937与接地的腔室壁640绝缘的导电环。
图9C图示根据实施例的蚀刻腔室901的横截面图,该蚀刻腔室901经重新配置以执行图1所图示的沉积操作130。使用实质上如本文其它处描述的用于蚀刻操作120的直流电源或在第二腔室区域681中产生的第二射频等离子体,以执行保护层的PECVD沉积。在使用直流电源时,来自第二喷淋头610的电子通过第一喷淋头625并经由孔683提供含硅前驱物(诸如,OMCTS 695)。亦可通过孔683供应氧以通过电子通量来游离氧。
控制器470将在蚀刻制程期间通过交替地自动供电两个源来交替地激发第一等离子体670与直流辉光放电618。控制器470可类似地使腔室901进入沉积模式。
在进一步实施例中,可用电子通量执行沉积的保护层的原位固化,本质上执行电子束硬化类型的制程。提供于接地电位与正偏压之间的可控制的可变夹盘电位963亦为此目的可控制从直流辉光放电618到工作件302的电子通量。具体而言,在需要固化时,工作件302将处在接地电位处;在不需要固化时,工作件302处于阴极电位处。
图10图示根据实施例的蚀刻腔室1001的横截面图,该蚀刻腔室1001经配置以执行图1所图示的蚀刻制程100的各个模式。一般而言,蚀刻腔室1001包含实施离子研磨操作的CCP,以及实施蚀刻操作及实施可选的沉积操作的感应耦合的等离子体源(inductivelycoupled plasma source;IPS)。
如图10中所图示,提供了上文在用于第一腔室区域684中的修改操作110(图1)的CCP等离子体的情境中描述的所有腔室组件,夹盘650及第一喷淋头625再次形成射频电极对。在实施例中,第一喷淋头625为实质上如本文其它处描述的可被供电的、电气浮动的或接地的DZSH。对于蚀刻操作(例如,图1中的120),导电线圈组1052耦接至包括产生器608的射频源,以用本领域中已知的任一方式产生感应耦合的等离子体692。在第一喷淋头的DZSH实施例中结合大尺寸洞的ICP源能够有效地游离经由介电质盖1006所引入的诸如NF3 691及NH3 692的馈送气体。
控制器470将在蚀刻制程期间通过交替地自动供电两个源来交替地激发第一等离子体670与ICP等离子体692。控制器470可类似地使腔室1001进入沉积模式。
应了解上文的描述旨在说明而非限制。此外,本领域技术人员在阅读及理解以上描述后将明白除了详细描述的实施例的外的许多其它实施例。虽然已参考具体的示例性实施例描述了本发明,但将认识到本发明不局限于描述的实施例,而是可用在随附权利要求的精神及范围内的修改及变更来实践本发明。因此应参考随附权利要求及此权利要求允许的全部等效物决定本发明的范畴。

Claims (38)

1.一种等离子体蚀刻腔室,包括:
夹盘,所述夹盘用于在蚀刻制程期间支撑工作件,所述夹盘耦接至第一射频源;
第一喷淋头,所述第一喷淋头设置在所述夹盘上方以将第一馈送气体分配到第一腔室区域中,所述第一喷淋头能操作来耦接至第二射频源,其中所述夹盘与所述第一喷淋头用于电容激发所述第一喷淋头与所述夹盘之间的所述第一腔室区域内的所述第一馈送气体的第一等离子体;以及
第二电极,所述第二电极设置在所述第一喷淋头上方并与所述第一喷淋头电绝缘,所述第二电极与所述夹盘相对,其中所述第二电极与所述第一喷淋头用于使所述第一喷淋头与所述第二电极之间的第二腔室区域内的第二馈送气体的第二等离子体电容放电,以及其中所述第二电极能独立于所述夹盘而操作。
2.如权利要求1所述的等离子体蚀刻腔室,进一步包括:
控制器,所述控制器用于在所述蚀刻制程期间通过交替地对所述第一和第二射频耦合电极对自动供电来交替地激发所述第一和第二等离子体。
3.如权利要求1所述的等离子体蚀刻腔室,其中所述第二电极是第二喷淋头以将所述第一和第二馈送气体分配到所述第二腔室区域中,以及其中所述第一喷淋头进一步用于将所述第一馈送气体或反应性物质从所述第二等离子体引导至所述第一腔室区域。
4.如权利要求2所述的等离子体蚀刻腔室,其中所述第一喷淋头耦接至接地平面以及其中所述夹盘和所述第二电极各自耦接至包括一或多个射频产生器的射频电源。
5.如权利要求4所述的等离子体蚀刻腔室,其中所述夹盘和所述第二电极二者通过中继器耦接至所述第一射频电源,所述中继器能由所述控制器切换。
6.如权利要求4所述的等离子体蚀刻腔室,其中所述夹盘耦接至包括一或多个射频产生器的第一射频电源,以及其中所述第一喷淋头通过中继器选择性地耦接至所述接地平面和第二射频电源二者,所述第二射频电源包括在与所述第一射频电源的频率不同的频率下可操作的一或多个射频产生器,所述中继器能由所述控制器控制。
7.如权利要求6所述的等离子体蚀刻腔室,进一步包括将所述第一喷淋头与所述第二电极电绝缘的第一介电质环以及将所述第一喷淋头与环绕所述夹盘的接地腔室壁电绝缘的第二介电质环。
8.如权利要求1所述的等离子体蚀刻腔室,其中所述夹盘在与所述第一喷淋头垂直的方向上是可移动的,或者所述夹盘包括升降机以提升所述工作件离开所述夹盘从而在所述蚀刻制程期间控制所述第一喷淋头对所述工作件的加热。
9.如权利要求1所述的等离子体蚀刻腔室,其中所述第一喷淋头是具有第一多个孔和第二多个孔的双区喷淋头,所述第一多个孔流体耦接所述第一和第二腔室区域,所述第二多个孔流体耦接所述第一腔室区域和与所述第二腔室区域隔离的流体源。
10.如权利要求1所述的等离子体蚀刻腔室,进一步包括至少一个涡轮分子泵,所述至少一个涡轮分子泵耦接至所述第一腔室区域并且设置在所述夹盘下方,与所述第一喷淋头相对。
11.如权利要求10所述的等离子体蚀刻腔室,其中所述夹盘通过具有与所述夹盘的中心对准的中心的单个涡轮分子泵而自腔室壁悬臂支撑。
12.一种等离子体蚀刻腔室,包括:
夹盘,所述夹盘用于在蚀刻制程期间支撑工作件,所述夹盘耦接至第一射频源;
第一喷淋头,所述第一喷淋头设置在所述夹盘上方以将第一馈送气体分配到第一腔室区域中,所述第一喷淋头能操作来耦接至第二射频源,其中所述夹盘与所述第一喷淋头用于电容激发所述第一喷淋头与所述夹盘之间的所述第一腔室区域内的所述第一馈送气体的第一等离子体以及用于在所述夹盘上提供射频偏压电位;以及
远端射频等离子体源,所述远端射频等离子体源设置在所述第一喷淋头上方并与所述第一喷淋头电绝缘,所述远端射频等离子体源与所述夹盘相对,其中所述远端射频等离子体源用于使所述远端等离子体源内的第二馈送气体的第二等离子体放电而不在所述夹盘上提供射频偏压电位,以及其中所述远端射频等离子体源能独立于所述夹盘而操作。
13.如权利要求12所述的等离子体蚀刻腔室,进一步包括:
控制器,所述控制器用于在所述蚀刻制程期间通过交替地对所述第一射频耦合电极对和所述远端射频等离子体源自动供电来交替地激发所述第一和第二等离子体。
14.如权利要求13所述的等离子体蚀刻腔室,其中所述夹盘和所述远端等离子体源各自耦接至包括一或多个射频产生器的射频电源。
15.如权利要求14所述的等离子体蚀刻腔室,其中所述夹盘耦接至包括一或多个射频产生器的第一射频电源,以及其中所述第一喷淋头耦接至包括在与所述第一射频电源的频率不同的频率下可操作的一或多个射频产生器的第二射频电源,所述第一喷淋头通过介电隔片与接地的腔室壁绝缘从而相对于所述腔室壁是电气浮动的。
16.如权利要求15所述的等离子体蚀刻腔室,其中所述第一喷淋头和所述远端射频等离子体源二者通过中继器耦接至所述第二射频电源,所述中继器能由所述控制器控制。
17.如权利要求12所述的等离子体蚀刻腔室,进一步包括设置在所述远端射频等离子体源和所述第一喷淋头之间的第二喷淋头,所述第二喷淋头用于分配由所述远端射频等离子体源产生的蚀刻物质。
18.如权利要求12所述的等离子体蚀刻腔室,其中所述第一喷淋头是具有第一多个孔和第二多个孔的双区喷淋头,所述第一多个孔流体耦接所述第一腔室区域和所述远端等离子体源,所述第二多个孔流体耦接所述第一腔室区域和与所述远端等离子体源隔离的流体源。
19.如权利要求12所述的等离子体蚀刻腔室,进一步包括至少一个涡轮分子泵,所述至少一个涡轮分子泵耦接至所述第一腔室区域并且设置在所述夹盘下方,与所述第一喷淋头相对。
20.如权利要求19所述的等离子体蚀刻腔室,其中所述夹盘通过具有与所述夹盘的中心对准的中心的单个涡轮分子泵而自腔室壁悬臂支撑。
21.如权利要求12所述的等离子体蚀刻腔室,其中所述夹盘在与所述第一喷淋头垂直的方向上是可移动的,或者所述夹盘包括升降机以提升所述工作件离开所述夹盘从而在所述蚀刻制程期间控制所述第一喷淋头对所述工作件的加热以至不同的预定量。
22.一种等离子体蚀刻腔室,包括:
夹盘,所述夹盘用于在蚀刻制程期间支撑工作件,所述夹盘耦接至第一射频源;
第一喷淋头,所述第一喷淋头设置在所述夹盘上方以将第一馈送气体分配到第一腔室区域中,所述第一喷淋头能操作来耦接至第二射频源,其中所述夹盘与所述第一喷淋头用于电容激发所述第一喷淋头与所述夹盘之间的所述第一腔室区域内的所述第一馈送气体的第一等离子体以及用于在所述夹盘上提供射频偏压电位;以及
单个高压直流电源,所述高压直流电源耦接至设置在所述第一喷淋头上方的竖直堆叠的电极对以在所述第一腔室区域上方产生直流等离子体放电,所述电极对通过介电隔片与所述第一喷淋头电绝缘,其中所述第一喷淋头相对于所述直流电源耦合电极的阴极负偏压至阳极电位。
23.如权利要求22所述的等离子体蚀刻腔室,进一步包括:
控制器,所述控制器用于在所述蚀刻制程期间通过交替地对所述第一射频耦合电极对和所述直流电源耦合极对自动供电来交替地激发所述射频和直流等离子体。
24.如权利要求22所述的等离子体蚀刻腔室,其中所述直流电源耦合电极的阳极是第二喷淋头,所述第二喷淋头具有孔以使来自所述直流等离子体放电的电子通过,以及其中所述第一喷淋头进一步用于引导所述第一馈送气体或以使所述电子通过以到达所述第一腔室区域。
25.如权利要求22所述的等离子体蚀刻腔室,其中所述夹盘具有在接地电位与正偏压之间的可控制的直流电位以控制从所述直流等离子体到所述工作件的电子通量。
26.如权利要求25所述的等离子体蚀刻腔室,进一步包括设置在所述第一喷淋头与所述夹盘之间的分流电极,其中所述分流电极通过可变电容器接地以控制从所述直流等离子体到所述工作件的电子通量。
27.如权利要求26所述的等离子体蚀刻腔室,其中所述分流电极包括通过第一介电隔片与所述第一喷淋头绝缘并通过第二介电隔片与接地的腔室壁绝缘的导电环。
28.如权利要求22所述的等离子体蚀刻腔室,其中所述第一喷淋头是具有第一多个孔和第二多个孔的双区喷淋头,所述第一多个孔用于使来自所述直流等离子体放电的电子通过,所述第二多个孔流体耦接所述第一腔室区域和与所述直流等离子体放电隔离的流体源。
29.如权利要求22所述的等离子体蚀刻腔室,其中所述夹盘在与所述第一喷淋头垂直的方向上是可移动的以在所述蚀刻制程期间控制所述第一喷淋头对所述工作件的加热。
30.如权利要求22所述的等离子体蚀刻腔室,进一步包括至少一个涡轮分子泵,所述至少一个涡轮分子泵耦接至所述第一腔室区域并且设置在所述夹盘下方,与所述第一喷淋头相对。
31.如权利要求30所述的等离子体蚀刻腔室,其中所述夹盘通过具有与所述夹盘的中心对准的中心的单个涡轮分子泵而自腔室壁悬臂支撑。
32.一种等离子体蚀刻腔室,包括:
夹盘,所述夹盘用于在蚀刻制程期间支撑工作件,所述夹盘耦接至第一射频源;
第一喷淋头,所述第一喷淋头设置在所述夹盘上方以将第一馈送气体分配到第一腔室区域中,所述第一喷淋头能操作来耦接至第二射频源,其中所述夹盘与所述第一喷淋头用于电容激发所述第一喷淋头与所述夹盘之间的所述第一腔室区域内的所述第一馈送气体的第一等离子体以及用于在所述夹盘上提供射频偏压电位;以及
导电线圈,所述导电线圈设置在所述蚀刻腔室的介电质腔室盖上方,所述导电线圈用于在设置于所述介电质腔室盖与所述第一喷淋头之间的第二腔室区域中产生感应耦合等离子体放电。
33.如权利要求32所述的等离子体蚀刻腔室,进一步包括:
控制器,所述控制器用于在所述蚀刻制程期间通过交替地对所述第一射频耦合电极对和所述导电线圈自动供电来交替地激发所述电容耦合和感应耦合等离子体。
34.如权利要求33所述的等离子体蚀刻腔室,其中所述第一喷淋头是具有第一多个孔和第二多个孔的双区喷淋头,所述第一多个孔用于使从所述第二腔室区域到所述第一腔室区域的反应性物质通过,所述第二多个孔流体耦接所述第一腔室区域和与所述第二腔室区域隔离的流体源。
35.如权利要求33所述的等离子体蚀刻腔室,其中所述夹盘耦接至包括一或多个射频产生器的第一射频电源,以及其中所述第一喷淋头耦接至包括在与所述第一射频电源的频率不同的频率下可操作的一或多个射频产生器的第二射频电源,所述第一喷淋头通过介电隔片与接地的腔室壁绝缘从而相对于所述腔室壁是电气浮动的。
36.如权利要求33所述的等离子体蚀刻腔室,其中所述夹盘在与所述第一喷淋头垂直的方向上是可移动的以在所述蚀刻制程期间控制所述第一喷淋头对所述工作件的加热。
37.如权利要求35所述的等离子体蚀刻腔室,进一步包括至少一个涡轮分子泵,所述至少一个涡轮分子泵耦接至所述第一腔室区域并且设置在所述夹盘下方,与所述第一喷淋头相对。
38.如权利要求35所述的等离子体蚀刻腔室,其中所述夹盘通过具有与所述夹盘的中心对准的中心的单个涡轮分子泵而自腔室壁悬臂支撑。
CN201910594878.5A 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室 Active CN110289233B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910594878.5A CN110289233B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161552183P 2011-10-27 2011-10-27
US61/552,183 2011-10-27
US13/651,074 US9666414B2 (en) 2011-10-27 2012-10-12 Process chamber for etching low k and other dielectric films
US13/651,074 2012-10-12
PCT/US2012/060668 WO2013062831A2 (en) 2011-10-27 2012-10-17 Process chamber for etching low k and other dielectric films
CN201280048477.6A CN104011837B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室
CN201910594878.5A CN110289233B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201280048477.6A Division CN104011837B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室

Publications (2)

Publication Number Publication Date
CN110289233A CN110289233A (zh) 2019-09-27
CN110289233B true CN110289233B (zh) 2023-06-09

Family

ID=48168761

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201910594878.5A Active CN110289233B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室
CN201280048477.6A Active CN104011837B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室
CN201710127682.6A Active CN106876264B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201280048477.6A Active CN104011837B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室
CN201710127682.6A Active CN106876264B (zh) 2011-10-27 2012-10-17 用于蚀刻低k及其它介电质膜的制程腔室

Country Status (7)

Country Link
US (4) US9666414B2 (zh)
JP (6) JP6438302B2 (zh)
KR (5) KR20220083977A (zh)
CN (3) CN110289233B (zh)
SG (2) SG11201400561TA (zh)
TW (1) TWI604524B (zh)
WO (1) WO2013062831A2 (zh)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3136419B1 (en) * 2015-08-31 2018-04-18 Total S.A. Plasma generating apparatus and method of manufacturing patterned devices using spatially resolved plasma processing
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
KR102481166B1 (ko) * 2015-10-30 2022-12-27 삼성전자주식회사 식각 후처리 방법
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10115591B2 (en) * 2016-02-29 2018-10-30 Tokyo Electron Limited Selective SiARC removal
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10580661B2 (en) * 2016-12-14 2020-03-03 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2020515063A (ja) * 2017-03-17 2020-05-21 東京エレクトロン株式会社 エッチングメトリックを向上させるための表面改質制御
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR20240039207A (ko) * 2018-03-16 2024-03-26 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20220093365A1 (en) * 2018-09-10 2022-03-24 Lam Research Corporation Atomic layer treatment process using metastable activated radical species
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7138529B2 (ja) * 2018-09-28 2022-09-16 東京エレクトロン株式会社 エッチング方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111261514A (zh) * 2018-11-30 2020-06-09 东京毅力科创株式会社 基片处理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
KR20210042653A (ko) * 2019-10-10 2021-04-20 주성엔지니어링(주) 기판 처리 장치
WO2021108294A2 (en) 2019-11-27 2021-06-03 Applied Materials, Inc. Processing chamber with multiple plasma units
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11195723B1 (en) * 2020-12-11 2021-12-07 Tokyo Electron Limited Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
KR20230107853A (ko) 2020-12-18 2023-07-18 헤레우스 코나믹 노스 아메리카 엘엘씨 플라즈마 저항성 이트륨 알루미늄 산화물 챔버 구성요소
JP2022098353A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 プラズマ処理装置
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158930A (ko) * 2021-05-25 2022-12-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20230402286A1 (en) * 2022-06-10 2023-12-14 Applied Materials, Inc. Method and apparatus for etching a semiconductor substrate in a plasma etch chamber
JP2024039508A (ja) * 2022-09-09 2024-03-22 東京エレクトロン株式会社 プラズマ処理装置
US20240162043A1 (en) * 2022-11-16 2024-05-16 Tokyo Electron Limited Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63219129A (ja) * 1987-03-06 1988-09-12 Shimadzu Corp ドライエツチング装置
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH03129821A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体装置の製造方法
JPH04132219A (ja) * 1990-09-24 1992-05-06 Sony Corp プラズマ処理装置とそれを用いる半導体装置の製造方法
JPH04302426A (ja) * 1991-03-29 1992-10-26 Sony Corp デジタル・エッチング方法
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
JPH06177089A (ja) * 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5895549A (en) * 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
WO1998020896A1 (en) 1996-11-15 1998-05-22 Health Research Inc. A method for inducing apoptosis of primary central nervous system b cell lymphomas
JP3940467B2 (ja) * 1997-06-03 2007-07-04 株式会社アルバック 反応性イオンエッチング装置及び方法
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
JP2001035832A (ja) * 1999-07-16 2001-02-09 Canon Inc ドライエッチング方法
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
JP5165825B2 (ja) * 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
AU2001245938A1 (en) * 2000-03-28 2001-10-08 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
JP3732138B2 (ja) 2001-10-26 2006-01-05 日野自動車株式会社 エンジンの排ガス排出管
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
KR100854809B1 (ko) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
JP4231417B2 (ja) 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
JP2006019571A (ja) 2004-07-02 2006-01-19 Toshiba Corp 半導体記憶装置及びその製造方法
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100640950B1 (ko) * 2004-12-29 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR100725037B1 (ko) 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP4862425B2 (ja) * 2006-02-24 2012-01-25 ソニー株式会社 基板処理方法および基板処理装置
JP2007266455A (ja) 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2007266522A (ja) * 2006-03-30 2007-10-11 Ebara Corp プラズマ処理装置およびそれを用いた加工方法
KR100864111B1 (ko) * 2006-05-22 2008-10-16 최대규 유도 결합 플라즈마 반응기
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
JP2008050055A (ja) 2006-08-26 2008-03-06 Maakisu:Kk ボトル
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JPWO2008117832A1 (ja) * 2007-03-27 2010-07-15 キヤノンアネルバ株式会社 真空処理装置
US7988815B2 (en) 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
KR101440415B1 (ko) 2008-07-02 2014-09-17 주식회사 원익아이피에스 진공처리장치
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
CN102931222B (zh) 2011-08-08 2015-05-20 中国科学院微电子研究所 半导体器件及其制造方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9325294B2 (en) 2013-03-15 2016-04-26 Resonant Inc. Microwave acoustic wave filters

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Also Published As

Publication number Publication date
JP2018201031A (ja) 2018-12-20
US20180358244A1 (en) 2018-12-13
KR20220083977A (ko) 2022-06-21
CN104011837A (zh) 2014-08-27
JP6875452B2 (ja) 2021-05-26
WO2013062831A2 (en) 2013-05-02
KR20210024261A (ko) 2021-03-04
TWI604524B (zh) 2017-11-01
US11410860B2 (en) 2022-08-09
TW201324613A (zh) 2013-06-16
JP2018050055A (ja) 2018-03-29
CN106876264B (zh) 2021-07-20
JP2019179921A (ja) 2019-10-17
JP2020074452A (ja) 2020-05-14
JP6438302B2 (ja) 2018-12-12
KR20200037451A (ko) 2020-04-08
SG11201400561TA (en) 2014-08-28
JP2014532988A (ja) 2014-12-08
KR20140082850A (ko) 2014-07-02
JP7250857B2 (ja) 2023-04-03
US20130105303A1 (en) 2013-05-02
US9666414B2 (en) 2017-05-30
CN110289233A (zh) 2019-09-27
KR101962317B1 (ko) 2019-03-26
JP2021184475A (ja) 2021-12-02
KR102346730B1 (ko) 2021-12-31
US20170229325A1 (en) 2017-08-10
KR102223704B1 (ko) 2021-03-04
WO2013062831A3 (en) 2013-07-11
US20210134618A1 (en) 2021-05-06
KR102121186B1 (ko) 2020-06-10
JP6787868B2 (ja) 2020-11-18
SG10201709011YA (en) 2017-12-28
KR20190033095A (ko) 2019-03-28
US10096496B2 (en) 2018-10-09
US10923367B2 (en) 2021-02-16
JP6663457B2 (ja) 2020-03-11
CN106876264A (zh) 2017-06-20
CN104011837B (zh) 2017-04-12

Similar Documents

Publication Publication Date Title
US11410860B2 (en) Process chamber for etching low k and other dielectric films
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US10354889B2 (en) Non-halogen etching of silicon-containing materials
KR100775175B1 (ko) 높은 어스펙트비의 반도체장치 에칭방법
TWI775839B (zh) 具有選擇性阻隔層的結構
KR102354258B1 (ko) 다수의 증착된 반도체 층들의 적층체를 형성하는 방법들
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant