US20210159052A1 - Processing Chamber With Multiple Plasma Units - Google Patents

Processing Chamber With Multiple Plasma Units Download PDF

Info

Publication number
US20210159052A1
US20210159052A1 US17/101,074 US202017101074A US2021159052A1 US 20210159052 A1 US20210159052 A1 US 20210159052A1 US 202017101074 A US202017101074 A US 202017101074A US 2021159052 A1 US2021159052 A1 US 2021159052A1
Authority
US
United States
Prior art keywords
substrate
processing chamber
plasma
remote plasma
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/101,074
Inventor
Kazuya DAITO
Yi Xu
Yu Lei
Takashi KURATOMI
Jallepally Ravi
Pingyan Lei
Dien-Yeh Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to PCT/US2020/061759 priority Critical patent/WO2021108294A2/en
Priority to US17/101,074 priority patent/US20210159052A1/en
Priority to JP2022529565A priority patent/JP2023503578A/en
Priority to KR1020227021126A priority patent/KR20220103781A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEI, YU, DAITO, Kazuya, KURATOMI, Takashi, LEI, Pingyan, RAVI, JALLEPALLY, WU, DIEN-YEH, XU, YI
Publication of US20210159052A1 publication Critical patent/US20210159052A1/en
Priority to US17/844,245 priority patent/US11955319B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Definitions

  • Embodiments of the disclosure generally relate to semiconductor processes and apparatus. More particularly, embodiments relate to processing apparatus and processes that include both remote and direct plasma units.
  • Integrated circuits are made possible by processes which produce patterned material layers on a substrate.
  • Producing patterned material on a substrate requires controlled methods for removal of exposed material.
  • Chemical etching is used for a variety of purposes, including transferring a pattern in photoresist into underlying layers, thinning layers, or thinning lateral dimensions of features already present on the surface. Sometimes it is necessary to have an etch process that etches one material faster than another facilitating, for example, a pattern transfer process.
  • Incoming substrates often have residue on them from previous processing, from native oxide formation on a metal, and etch residue from via hole formation.
  • any residue and/or native oxide must be removed.
  • Remote plasma and direct plasma alone, are incapable of removing the residue and native oxide inside the structure effectively. Remote plasma radicals do not reach the structure trench well due to its lifetime, and direct plasma does not clear the side walls of a structure due to the directionality.
  • a processing chamber comprises: a lid and at least one sidewall defining an internal volume; a remote plasma unit in the internal volume; a direct plasma unit in the internal volume; and at least one electrode, wherein one of the remote plasma unit or the direct plasma unit generates a remote plasma and the other of the remote plasma unit or the direct plasma unit generates a direct plasma.
  • a processing method comprises: exposing a substrate to a remote plasma and exposing a substrate to a direct plasma.
  • a non-transitory computer readable medium including instructions, that, when executed by a controller of a processing chamber, causes the processing chamber to perform operations of: exposing a substrate to a remote plasma; and exposing the substrate to a direct plasma.
  • FIG. 1A illustrates a process flow diagram for a method according to one or more embodiments
  • FIG. 1B illustrates a process flow diagram for a method according to one or more embodiments
  • FIG. 2 illustrates schematic diagram of a processing tool according to one or more embodiments
  • FIG. 3 illustrates schematic diagram of a processing tool according to one or more embodiments.
  • substrate and “wafer” are used interchangeably, both referring to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
  • a “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, silicon nitride, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers.
  • Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates.
  • the exposed surface of the newly deposited film/layer becomes the substrate surface.
  • a processing chamber is configured to contain a semiconductor substrate in a processing region of the chamber.
  • the processing chamber includes a remote plasma unit and a direct plasma unit, wherein one of the remote plasma unit or the direct plasma unit generates a remote plasma and the other of the remote plasma unit or the direct plasma unit generates a direct plasma.
  • the combination of a remote plasma unit and a direct plasma unit is used to remove, treat, residue on a substrate from previous processing and/or from native oxide formation.
  • FIG. 1A illustrates a process flow diagram of a method 100 according to one or more embodiments.
  • a substrate is optionally placed into a processing chamber.
  • a substrate is exposed to a remote plasma.
  • the substrate is exposed to a direct plasma.
  • exposing the substrate to the remote plasma and exposing the substrate to a direct plasma occurs sequentially.
  • the substrate is first exposed to the remote plasma and is thereafter is exposed to the direct plasma.
  • exposing the substrate to the remote plasma and exposing the substrate to a direct plasma occurs simultaneously.
  • FIG. 1B illustrates a process flow diagram of a method 100 according to one or more embodiments.
  • a substrate is optionally placed into a processing chamber.
  • a substrate is exposed to a direct plasma.
  • the substrate is exposed to a remote plasma.
  • exposing the substrate to the direct plasma and exposing the substrate to a remote plasma occurs sequentially.
  • the substrate is first exposed to the direct plasma and is thereafter exposed to the remote plasma.
  • exposing the substrate to the direct plasma and exposing the substrate to a remote plasma occurs simultaneously.
  • exposing the substrate to the remote plasma and exposing the substrate to a direct plasma treats or cleans the substrate.
  • the substrate comprises at least one feature.
  • the at least one feature may comprise any feature known to the skilled artisan, including, but not limited to a trench, a via, or a peak.
  • the treating or cleaning removes one or more of a residue, e.g. from prior processing, and/or a native oxide.
  • the treating or cleaning removes one or more of a residue, e.g. from prior processing, and/or a native oxide.
  • the method further comprises exposing the substrate to at least one precursor to deposit a film on the substrate vis a vis a plasma enhanced chemical vapor deposition (PECVD) process or a plasma enhanced atomic layer deposition process (PEALD). Any appropriate precursor known to the skill artisan may be used to form a film on the substrate.
  • PECVD plasma enhanced chemical vapor deposition
  • PEALD plasma enhanced atomic layer deposition process
  • FIG. 2 illustrates a processing tool 200 according to one or more embodiments.
  • the processing tool 200 comprising a processing chamber 201 .
  • the processing chamber comprises a lid 202 and at least one side wall 204 .
  • the lid 202 and the at least one sidewall 204 define an internal volume 205 of the processing chamber 201 .
  • the processing tool 200 comprises a remote plasma unit 206 within the internal volume 205 of the processing chamber 201 .
  • a direct plasma unit 208 is within the internal volume 205 of the processing chamber 201 .
  • one of the remote plasma unit 206 generates a remote plasma and the direct plasma unit 208 generates a direct plasma.
  • the generation of the remote plasma and the generation of the direct plasma occurs sequentially. In some embodiments, the generation of the remote plasma occurs first, and the generation of the direct plasma occurs thereafter. In other embodiments, the generation of the direct plasma occurs first and the generation of the remote plasma occurs thereafter. In one or more embodiments, the generation of the remote plasma and the generation of the direct plasma occurs simultaneously.
  • an ion filter 212 separates the remote plasma unit 206 and the direct plasma unit 208 .
  • the ion filter 212 is used to filter ions from the plasma effluents during transit from the remote plasma unit 206 to the substrate processing region 215 .
  • the ion filter 212 functions to reduce or eliminate ionically charged species traveling from the remote plasma unit 206 to the substrate 230 .
  • uncharged neutral and radical species may pass through at least one aperture 218 in the ion filter 212 to react at the substrate 230 . It should be noted that complete elimination of ionically charged species in the reaction region 215 surrounding the substrate 230 is not always the desired goal.
  • ionic species are required to reach the substrate 230 in order to perform etch and/or deposition processes.
  • the ion filter 212 helps control the concentration of ionic species in the reaction region 215 at a level that assists the treat/clean and/or deposition process.
  • the processing tool comprises at least one electrode within the processing chamber. In one or more embodiments, the at least one electrode is located within the internal volume 205 of the processing chamber 201 . In the embodiment illustrated in FIG. 2 , at least one electrode 210 is positioned in electrical communication with the remote plasma unit 206 .
  • the processing chamber 201 comprises a pedestal 214 .
  • the pedestal 214 is configured to support a semiconductor substrate 230 in a processing region 215 .
  • the pedestal 214 may have a heat exchange channel (not illustrated) through which a heat exchange fluid flows to control the temperature of the substrate 230 .
  • the substrate 230 temperature can be cooled or heated to maintain relatively low temperatures, such as from about ⁇ 20° C. to about 400° C.
  • the heat exchange fluid comprises one or more of ethylene glycol or water.
  • the pedestal 214 is resistively heated in order to achieve relatively high temperatures, such as from about 100° C.
  • the pedestal 214 is configured to rotate.
  • the pedestal 214 comprises an electrode 216 within the interior of the pedestal 214 , and the pedestal 214 is powered by RF generator 250 and matched by RF match 240 .
  • the pedestal 214 is comprised of a metallic material and is, itself, an electrode.
  • At least one power source, e.g. RF generator, 250 is electrically connected via a first RF match 240 and a second RF match 245 to the processing chamber 201 .
  • two RF generators 250 are electrically connected to the processing chamber 201 .
  • a first RF generator 250 is electrically connected to a pedestal electrode 216
  • a second RF generator 255 is electrically connected to a top electrode 210 .
  • a plasma is generated using a radio frequency (RF) powered remote plasma unit 206 and/or direct plasma unit 208 .
  • RF radio frequency
  • AC alternating current
  • the RF amplifier operates at a reference frequency (13.56 MHz, for example), drives current through an output-matching network, and then through a power measurement circuit to the output of the power supply.
  • the output match is usually designed to be connected a generator that is optimized to drive particular impedance, such as, for example, 50 ohms, in order to have the same characteristic impedance as the coaxial cables commonly used in the industry. Power flows through the matched cable sections, is measured by the match controller, and is transformed through the load match.
  • the load match is usually a motorized automatic tuner, so the load match operation incurs a predetermined time delay before the system is properly configured.
  • power is then channeled into a plasma excitation circuit that drives two electrodes in an evacuated processing chamber.
  • a processing gas is introduced into the evacuated processing chamber, and when driven by the circuit, plasma is generated. Since the matching network or the load match is motorized, the response time from the matching network is typically on the order of one second or more.
  • the plasma power is in a range of from about 10 W to about 1000 W, including from about 200 W to about 600 W. In some embodiments, the plasma power is less than or equal to about 1000 W, or less than or equal to about 6500 W.
  • the plasma frequency may be any suitable frequency.
  • the plasma has a frequency in a range of about 200 kHz to 30 MHz.
  • the plasma frequency is less than or equal to about 20 MHz, less than or equal to about 10 MHz, less than or equal to about 5 MHz, less than or equal to about 1000 kHz, or less than or equal to about 500 kHz.
  • the plasma frequency is greater than or equal to about 210 kHz, greater than or equal to about 250 kHz, greater than or equal to about 600 kHz, greater than or equal to about 750 MHz, greater than or equal to about 1200 kHz, greater than or equal to about 2 MHz, greater than or equal to about 4 MHz, greater than or equal to about 7 MHz, greater than or equal to about 12 MHz, greater than or equal to about 15 MHz, or greater than or equal to about 25 MHz.
  • the plasma has a frequency of about 13.56 MHz, or about 350 kHz, or about 400 kHz, or about 27 MHz, or about 40 MHz, or about 60 MHz.
  • a controller 220 may be provided and coupled to various components of the processing tool 200 to control the operation thereof.
  • the controller 220 can be a single controller that controls the entire processing tool 200 , or multiple controllers that control individual portions of the processing tool 200 .
  • the processing tool 200 may include separate controllers for each of the processing chamber 202 , remote plasma unit 206 , direct plasma unit 208 , and power source 250 .
  • the processing chamber 201 further comprises a controller 220 .
  • the controller 220 controls the ignition of the plasma by the remote plasma unit 206 and/or the direct plasma unit 208 within the processing chamber 201 .
  • the controller 220 includes a central processing unit (CPU) 222 , a memory 224 , inputs/outputs (I/O) 226 , and support circuits 228 .
  • the controller 220 may control the processing tool 200 directly, or via computers (or controllers) associated with particular process chamber and/or support system components.
  • the controller 220 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory 224 or computer readable medium of the controller 220 may be one or more of readily available memory such as non-transitory memory (e.g. random access memory (RAM)), read only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disc or digital video disc), flash drive, or any other form of digital storage, local or remote.
  • RAM random access memory
  • ROM read only memory
  • floppy disk e.g., hard disk
  • optical storage media e.g., compact disc or digital video disc
  • flash drive e.g., compact disc or digital video disc
  • the support circuits 228 are coupled to the CPU 222 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • One or more processes may be stored in the memory 224 as software routine that, when executed or invoked by the processor, causes the processor to control the operation of the processing tool 200 or individual processing units (e.g. remote plasma unit 206 and direct plasma unit 208 ) in the manner described herein.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 222 .
  • Some or all of the processes and methods of the present disclosure may also be performed in hardware.
  • the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware.
  • the software routine when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • the controller 220 has one or more configurations to execute individual processes or sub-processes to perform the method.
  • the controller 220 can be connected to and configured to operate intermediate components to perform the functions of the methods.
  • the controller 220 can be connected to and configured to control one or more of the remote plasma unit 206 , the direct plasma unit 208 , the pedestal 214 , the at least one electrode, or other components.
  • FIG. 3 illustrates a processing tool 300 according to one or more embodiments.
  • the processing tool 300 comprises a processing chamber 301 .
  • the processing chamber comprises a lid 302 and at least one side wall 304 .
  • the lid 302 and the at least one sidewall 304 define an internal volume 305 of the processing chamber 301 .
  • the processing tool 300 comprises a remote plasma unit 306 within the internal volume 305 of the processing chamber 301 .
  • a direct plasma unit 308 is within the internal volume 305 of the processing chamber 301 .
  • one of the remote plasma unit 306 generates a remote plasma and the direct plasma unit 308 generates a direct plasma.
  • the generation of the remote plasma and the generation of the direct plasma occurs sequentially. In some embodiments, the generation of the remote plasma occurs first, and the generation of the direct plasma occurs thereafter. In other embodiments, the generation of the direct plasma occurs first and the generation of the remote plasma occurs thereafter. In one or more embodiments, the generation of the remote plasma and the generation of the direct plasma occur simultaneously.
  • an ion filter 312 separates the remote plasma unit 306 and the direct plasma unit 308 .
  • the ion filter 312 is used to filter ions from the plasma effluents during transit from the remote plasma unit 306 to the substrate processing region 315 .
  • the ion filter 312 functions to reduce or eliminate ionically charged species traveling from the remote plasma unit 306 to the substrate 330 .
  • uncharged neutral and radical species may pass through at least one aperture 318 in the ion filter 312 to react at the substrate 330 . It should be noted that complete elimination of ionically charged species in the reaction region 315 surrounding the substrate 330 is not always the desired goal.
  • ionic species are required to reach the substrate 330 in order to perform etch and/or deposition processes.
  • the ion filter 312 helps control the concentration of ionic species in the reaction region 315 at a level that assists the treat/clean and/or deposition process.
  • the ion filter 312 comprises a showerhead.
  • the processing tool comprises at least one electrode within the processing chamber.
  • the at least one electrode is located within the internal volume 305 of the processing chamber 301 .
  • at least one electrode 316 is positioned in electrical communication with the pedestal 314 .
  • the processing chamber 301 comprises a pedestal 314 .
  • the pedestal 314 is configured to support a semiconductor substrate 330 in a processing region 315 .
  • the pedestal 314 may have a heat exchange channel (not illustrated) through which a heat exchange fluid flows to control the temperature of the substrate 330 .
  • the substrate 330 temperature can be cooled or heated to maintain relatively low temperatures, such as from about ⁇ 20° C. to about 400° C., or from about 0° C. to about 400° C.
  • the heat exchange fluid comprises one or more of ethylene glycol or water.
  • the pedestal 314 is resistively heated in order to achieve relatively high temperatures, such as from about 100° C. to about 1100° C., or from about 200° C. to about 750° C., through the use of an embedded resistive heater element (not illustrated).
  • the pedestal 314 is configured to rotate.
  • the pedestal 314 comprises an electrode 316 within the interior of the pedestal 314 , and the pedestal 314 is powered by RF generator 350 and matched by RF match 340 .
  • the pedestal 314 is comprised of a metallic material and is, itself, an electrode.
  • At least one power source, e.g. RF generator, 350 is electrically connected via an RF match 340 to the processing chamber 301 .
  • two RF generators are electrically connected to the processing chamber 301 .
  • a first RF generator 350 is electrically connected to a pedestal electrode 316
  • a second RF generator 355 is electrically connected to an inductively coupled plasma (ICP) coil 370 .
  • ICP inductively coupled plasma
  • a plasma is generated using a radio frequency (RF) powered remote plasma unit 306 and direct plasma unit 308 .
  • RF radio frequency
  • AC alternating current
  • the RF amplifier operates at a reference frequency (13.56 MHz, for example), drives current through an output-matching network, and then through a power measurement circuit to the output of the power supply.
  • the output match is usually designed to be connected a generator that is optimized to drive particular impedance, such as, for example, 50 ohms, in order to have the same characteristic impedance as the coaxial cables commonly used in the industry. Power flows through the matched cable sections, is measured by the match controller, and is transformed through the load match.
  • the load match is usually a motorized automatic tuner, so the load match operation incurs a predetermined time delay before the system is properly configured.
  • power is then channeled into a plasma excitation circuit that drives two electrodes in an evacuated processing chamber.
  • a processing gas is introduced into the evacuated processing chamber, and when driven by the circuit, plasma is generated. Since the matching network or the load match is motorized, the response time from the matching network is typically on the order of one second or more.
  • the plasma power is in a range of about 10 W to about 1000 W, including from about 200 W to about 600 W. In some embodiments, the plasma power is less than or equal to about 1000 W, or less than or equal to about 6500 W.
  • the plasma frequency may be any suitable frequency.
  • the plasma has a frequency in a range of about 200 kHz to 30 MHz.
  • the plasma frequency is less than or equal to about 20 MHz, less than or equal to about 10 MHz, less than or equal to about 5 MHz, less than or equal to about 1000 kHz, or less than or equal to about 500 kHz.
  • the plasma frequency is greater than or equal to about 210 kHz, greater than or equal to about 250 kHz, greater than or equal to about 600 kHz, greater than or equal to about 750 MHz, greater than or equal to about 1200 kHz, greater than or equal to about 2 MHz, greater than or equal to about 4 MHz, greater than or equal to about 7 MHz, greater than or equal to about 12 MHz, greater than or equal to about 15 MHz, or greater than or equal to about 25 MHz.
  • the plasma has a frequency of about 13.56 MHz, or about 350 kHz, or about 400 kHz, or about 27 MHz, or about 40 MHz, or about 60 MHz.
  • a controller 320 may be provided and coupled to various components of the processing tool 300 to control the operation thereof.
  • the controller 320 can be a single controller that controls the entire processing tool 300 , or multiple controllers that control individual portions of the processing tool 300 .
  • the processing tool 300 may include separate controllers for each of the processing chamber 301 , remote plasma unit 306 , direct plasma unit 308 , and power source 350 .
  • the processing chamber 301 further comprises a controller 320 .
  • the controller 320 controls the ignition of the plasma by the remote plasma unit 306 and/or the direct plasma unit 308 within the processing chamber 301 .
  • the controller 320 includes a central processing unit (CPU) 322 , a memory 324 , inputs/outputs (I/O) 326 , and support circuits 328 .
  • the controller 320 may control the processing tool 300 directly, or via computers (or controllers) associated with particular process chamber and/or support system components.
  • the controller 320 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory 324 or computer readable medium of the controller 320 may be one or more of readily available memory such as non-transitory memory (e.g. random access memory (RAM)), read only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disc or digital video disc), flash drive, or any other form of digital storage, local or remote.
  • RAM random access memory
  • ROM read only memory
  • floppy disk e.g., hard disk
  • optical storage media e.g., compact disc or digital video disc
  • flash drive e.g., compact disc or digital video disc
  • the support circuits 328 are coupled to the CPU 322 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • One or more processes may be stored in the memory 324 as software routine that, when executed or invoked by the processor, causes the processor to control the operation of the processing tool 300 or individual processing units (e.g. remote plasma unit 306 and direct plasma unit 308 ) in the manner described herein.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 322 .
  • Some or all of the processes and methods of the present disclosure may also be performed in hardware.
  • the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware.
  • the software routine when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • the controller 320 has one or more configurations to execute individual processes or sub-processes to perform the method.
  • the controller 320 can be connected to and configured to operate intermediate components to perform the functions of the methods.
  • the controller 320 can be connected to and configured to control one or more of the remote plasma unit 306 , the direct plasma unit 308 , the pedestal 314 , the at least one electrode 316 , the ICP coil 370 , or other components.
  • One or more embodiments are directed to a non-transitory computer readable medium including instructions, that, when executed by a controller of a processing chamber, cause the processing chamber to perform the operations of exposing a substrate to a remote plasma and exposing a substrate to a direct plasma.
  • the non-transitory computer readable medium includes instructions, that, when executed by the controller of the processing chamber, cause the processing chamber to perform the operation of exposing the substrate to at least one precursor to form a film on the substrate.

Abstract

Provided is a processing chamber configured to contain a semiconductor substrate in a processing region of the chamber. The processing chamber includes a remote plasma unit and a direct plasma unit, wherein one of the remote plasma unit or the direct plasma unit generates a remote plasma and the other of the remote plasma unit or the direct plasma unit generates a direct plasma. The combination of a remote plasma unit and a direct plasma unit is used to remove, etch, clean, or treat residue on a substrate from previous processing and/or from native oxide formation. The combination of a remote plasma unit and direct plasma unit is used to deposit thin films on a substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to U.S. Provisional Application No. 62/941,148, filed Nov. 27, 2019, and to U.S. Provisional Application No. 62/960,293, filed Jan. 13, 2020, the entire disclosures of which are hereby incorporated by reference herein.
  • TECHNICAL FIELD
  • Embodiments of the disclosure generally relate to semiconductor processes and apparatus. More particularly, embodiments relate to processing apparatus and processes that include both remote and direct plasma units.
  • BACKGROUND
  • Integrated circuits are made possible by processes which produce patterned material layers on a substrate. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes, including transferring a pattern in photoresist into underlying layers, thinning layers, or thinning lateral dimensions of features already present on the surface. Sometimes it is necessary to have an etch process that etches one material faster than another facilitating, for example, a pattern transfer process.
  • Incoming substrates often have residue on them from previous processing, from native oxide formation on a metal, and etch residue from via hole formation. To improve process performance of the metal fill, e.g. low line resistance, high yield, and high reliability, any residue and/or native oxide must be removed. Remote plasma and direct plasma, alone, are incapable of removing the residue and native oxide inside the structure effectively. Remote plasma radicals do not reach the structure trench well due to its lifetime, and direct plasma does not clear the side walls of a structure due to the directionality.
  • Therefore, there is a need in the art for improved processes and apparatus for etching (cleaning) materials and structures on semiconductor substrates.
  • SUMMARY
  • One or more embodiments of the disclosure are directed to a processing chamber. In one or more embodiments, a processing chamber comprises: a lid and at least one sidewall defining an internal volume; a remote plasma unit in the internal volume; a direct plasma unit in the internal volume; and at least one electrode, wherein one of the remote plasma unit or the direct plasma unit generates a remote plasma and the other of the remote plasma unit or the direct plasma unit generates a direct plasma.
  • Additional embodiments of the disclosure are directed to a processing method. In one or more embodiments, a processing method comprises: exposing a substrate to a remote plasma and exposing a substrate to a direct plasma.
  • Further embodiments of the disclosure are directed to a non-transitory computer readable medium. In one or more embodiments, a non-transitory computer readable medium including instructions, that, when executed by a controller of a processing chamber, causes the processing chamber to perform operations of: exposing a substrate to a remote plasma; and exposing the substrate to a direct plasma.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
  • FIG. 1A illustrates a process flow diagram for a method according to one or more embodiments;
  • FIG. 1B illustrates a process flow diagram for a method according to one or more embodiments;
  • FIG. 2 illustrates schematic diagram of a processing tool according to one or more embodiments; and
  • FIG. 3 illustrates schematic diagram of a processing tool according to one or more embodiments.
  • DETAILED DESCRIPTION
  • Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.
  • As used in this specification and the appended claims, the terms “substrate” and “wafer” are used interchangeably, both referring to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
  • A “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, silicon nitride, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present disclosure, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.
  • Embodiments of the present disclosure relate to processing apparatus and methods relating to semiconductor processing chambers. In one or more embodiments, a processing chamber is configured to contain a semiconductor substrate in a processing region of the chamber. In one or more embodiments, the processing chamber includes a remote plasma unit and a direct plasma unit, wherein one of the remote plasma unit or the direct plasma unit generates a remote plasma and the other of the remote plasma unit or the direct plasma unit generates a direct plasma. In some embodiments, the combination of a remote plasma unit and a direct plasma unit is used to remove, treat, residue on a substrate from previous processing and/or from native oxide formation.
  • FIG. 1A illustrates a process flow diagram of a method 100 according to one or more embodiments. At operation 102, a substrate is optionally placed into a processing chamber. At operation 104, a substrate is exposed to a remote plasma. At operation 106, the substrate is exposed to a direct plasma. In one or more embodiments, exposing the substrate to the remote plasma and exposing the substrate to a direct plasma occurs sequentially. In some embodiments, the substrate is first exposed to the remote plasma and is thereafter is exposed to the direct plasma. In one or more embodiments, exposing the substrate to the remote plasma and exposing the substrate to a direct plasma occurs simultaneously.
  • FIG. 1B illustrates a process flow diagram of a method 100 according to one or more embodiments. At operation 102, a substrate is optionally placed into a processing chamber. At operation 106, a substrate is exposed to a direct plasma. At operation 104, the substrate is exposed to a remote plasma. In one or more embodiments, exposing the substrate to the direct plasma and exposing the substrate to a remote plasma occurs sequentially. In some embodiments, the substrate is first exposed to the direct plasma and is thereafter exposed to the remote plasma. In one or more embodiments, exposing the substrate to the direct plasma and exposing the substrate to a remote plasma occurs simultaneously.
  • In one or more embodiments, exposing the substrate to the remote plasma and exposing the substrate to a direct plasma treats or cleans the substrate. In one or more embodiments, the substrate comprises at least one feature. The at least one feature may comprise any feature known to the skilled artisan, including, but not limited to a trench, a via, or a peak. In embodiments where exposing the substrate to a remote plasma and a direct plasma treats or cleans the substrate, the treating or cleaning removes one or more of a residue, e.g. from prior processing, and/or a native oxide. In embodiments where exposing the substrate to a direct plasma and a remote plasma treats or cleans the substrate, the treating or cleaning removes one or more of a residue, e.g. from prior processing, and/or a native oxide.
  • In one or more embodiments, the method further comprises exposing the substrate to at least one precursor to deposit a film on the substrate vis a vis a plasma enhanced chemical vapor deposition (PECVD) process or a plasma enhanced atomic layer deposition process (PEALD). Any appropriate precursor known to the skill artisan may be used to form a film on the substrate.
  • FIG. 2 illustrates a processing tool 200 according to one or more embodiments. In one or more embodiments, the processing tool 200 comprising a processing chamber 201. The processing chamber comprises a lid 202 and at least one side wall 204. In one or more embodiments, the lid 202 and the at least one sidewall 204 define an internal volume 205 of the processing chamber 201. In one or more embodiments, the processing tool 200 comprises a remote plasma unit 206 within the internal volume 205 of the processing chamber 201. In one or more embodiments, a direct plasma unit 208 is within the internal volume 205 of the processing chamber 201. In one or more embodiments, one of the remote plasma unit 206 generates a remote plasma and the direct plasma unit 208 generates a direct plasma. In one or more embodiments, the generation of the remote plasma and the generation of the direct plasma occurs sequentially. In some embodiments, the generation of the remote plasma occurs first, and the generation of the direct plasma occurs thereafter. In other embodiments, the generation of the direct plasma occurs first and the generation of the remote plasma occurs thereafter. In one or more embodiments, the generation of the remote plasma and the generation of the direct plasma occurs simultaneously.
  • In one or more embodiments, an ion filter 212 separates the remote plasma unit 206 and the direct plasma unit 208. In one or more embodiments, the ion filter 212 is used to filter ions from the plasma effluents during transit from the remote plasma unit 206 to the substrate processing region 215. In one or more embodiments, the ion filter 212 functions to reduce or eliminate ionically charged species traveling from the remote plasma unit 206 to the substrate 230. In one or more embodiments, uncharged neutral and radical species may pass through at least one aperture 218 in the ion filter 212 to react at the substrate 230. It should be noted that complete elimination of ionically charged species in the reaction region 215 surrounding the substrate 230 is not always the desired goal. In one or more embodiments, ionic species are required to reach the substrate 230 in order to perform etch and/or deposition processes. In these instances, the ion filter 212 helps control the concentration of ionic species in the reaction region 215 at a level that assists the treat/clean and/or deposition process.
  • In one or more embodiments, the processing tool comprises at least one electrode within the processing chamber. In one or more embodiments, the at least one electrode is located within the internal volume 205 of the processing chamber 201. In the embodiment illustrated in FIG. 2, at least one electrode 210 is positioned in electrical communication with the remote plasma unit 206.
  • In one or more embodiments, the processing chamber 201 comprises a pedestal 214. In one or more embodiments, the pedestal 214 is configured to support a semiconductor substrate 230 in a processing region 215. In one or more embodiments, the pedestal 214 may have a heat exchange channel (not illustrated) through which a heat exchange fluid flows to control the temperature of the substrate 230. In one or more embodiments, the substrate 230 temperature can be cooled or heated to maintain relatively low temperatures, such as from about −20° C. to about 400° C. In one or more embodiments, the heat exchange fluid comprises one or more of ethylene glycol or water. In other embodiments, the pedestal 214 is resistively heated in order to achieve relatively high temperatures, such as from about 100° C. to about 1100° C., or from about 200° C. to about 750° C., through the use of an embedded resistive heater element (not illustrated). In one or more embodiments, the pedestal 214 is configured to rotate. In one or more embodiments, the pedestal 214 comprises an electrode 216 within the interior of the pedestal 214, and the pedestal 214 is powered by RF generator 250 and matched by RF match 240. In one or more embodiments, the pedestal 214 is comprised of a metallic material and is, itself, an electrode.
  • In one or more embodiments, at least one power source, e.g. RF generator, 250 is electrically connected via a first RF match 240 and a second RF match 245 to the processing chamber 201.
  • In one or more embodiments, two RF generators 250 are electrically connected to the processing chamber 201. In such embodiments, a first RF generator 250 is electrically connected to a pedestal electrode 216, and a second RF generator 255 is electrically connected to a top electrode 210.
  • In one or more embodiments, a plasma is generated using a radio frequency (RF) powered remote plasma unit 206 and/or direct plasma unit 208. In one or more embodiments, alternating current (AC) power is rectified and switched to provide current to a RF amplifier. The RF amplifier operates at a reference frequency (13.56 MHz, for example), drives current through an output-matching network, and then through a power measurement circuit to the output of the power supply. The output match is usually designed to be connected a generator that is optimized to drive particular impedance, such as, for example, 50 ohms, in order to have the same characteristic impedance as the coaxial cables commonly used in the industry. Power flows through the matched cable sections, is measured by the match controller, and is transformed through the load match. The load match is usually a motorized automatic tuner, so the load match operation incurs a predetermined time delay before the system is properly configured. After passing through the load match, power is then channeled into a plasma excitation circuit that drives two electrodes in an evacuated processing chamber. A processing gas is introduced into the evacuated processing chamber, and when driven by the circuit, plasma is generated. Since the matching network or the load match is motorized, the response time from the matching network is typically on the order of one second or more.
  • In some embodiments, the plasma power is in a range of from about 10 W to about 1000 W, including from about 200 W to about 600 W. In some embodiments, the plasma power is less than or equal to about 1000 W, or less than or equal to about 6500 W.
  • The plasma frequency may be any suitable frequency. In some embodiments, the plasma has a frequency in a range of about 200 kHz to 30 MHz. In some embodiments, the plasma frequency is less than or equal to about 20 MHz, less than or equal to about 10 MHz, less than or equal to about 5 MHz, less than or equal to about 1000 kHz, or less than or equal to about 500 kHz. In some embodiments, the plasma frequency is greater than or equal to about 210 kHz, greater than or equal to about 250 kHz, greater than or equal to about 600 kHz, greater than or equal to about 750 MHz, greater than or equal to about 1200 kHz, greater than or equal to about 2 MHz, greater than or equal to about 4 MHz, greater than or equal to about 7 MHz, greater than or equal to about 12 MHz, greater than or equal to about 15 MHz, or greater than or equal to about 25 MHz. In one or more embodiments, the plasma has a frequency of about 13.56 MHz, or about 350 kHz, or about 400 kHz, or about 27 MHz, or about 40 MHz, or about 60 MHz.
  • In one or more embodiments, a controller 220 may be provided and coupled to various components of the processing tool 200 to control the operation thereof. The controller 220 can be a single controller that controls the entire processing tool 200, or multiple controllers that control individual portions of the processing tool 200. For example, the processing tool 200 may include separate controllers for each of the processing chamber 202, remote plasma unit 206, direct plasma unit 208, and power source 250.
  • In some embodiments, the processing chamber 201 further comprises a controller 220. In one or more embodiments, the controller 220 controls the ignition of the plasma by the remote plasma unit 206 and/or the direct plasma unit 208 within the processing chamber 201.
  • In some embodiments, the controller 220 includes a central processing unit (CPU) 222, a memory 224, inputs/outputs (I/O) 226, and support circuits 228. The controller 220 may control the processing tool 200 directly, or via computers (or controllers) associated with particular process chamber and/or support system components.
  • The controller 220 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 224 or computer readable medium of the controller 220 may be one or more of readily available memory such as non-transitory memory (e.g. random access memory (RAM)), read only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disc or digital video disc), flash drive, or any other form of digital storage, local or remote. The memory 224 can retain an instruction set that is operable by the processor (CPU 222) to control parameters and components of the processing tool 200.
  • The support circuits 228 are coupled to the CPU 222 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. One or more processes may be stored in the memory 224 as software routine that, when executed or invoked by the processor, causes the processor to control the operation of the processing tool 200 or individual processing units (e.g. remote plasma unit 206 and direct plasma unit 208) in the manner described herein. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 222.
  • Some or all of the processes and methods of the present disclosure may also be performed in hardware. As such, the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routine, when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • In some embodiments, the controller 220 has one or more configurations to execute individual processes or sub-processes to perform the method. The controller 220 can be connected to and configured to operate intermediate components to perform the functions of the methods. For example, the controller 220 can be connected to and configured to control one or more of the remote plasma unit 206, the direct plasma unit 208, the pedestal 214, the at least one electrode, or other components.
  • FIG. 3 illustrates a processing tool 300 according to one or more embodiments. In one or more embodiments, the processing tool 300 comprises a processing chamber 301. The processing chamber comprises a lid 302 and at least one side wall 304. In one or more embodiments, the lid 302 and the at least one sidewall 304 define an internal volume 305 of the processing chamber 301. In one or more embodiments, the processing tool 300 comprises a remote plasma unit 306 within the internal volume 305 of the processing chamber 301. In one or more embodiments, a direct plasma unit 308 is within the internal volume 305 of the processing chamber 301. In one or more embodiments, one of the remote plasma unit 306 generates a remote plasma and the direct plasma unit 308 generates a direct plasma. In one or more embodiments, the generation of the remote plasma and the generation of the direct plasma occurs sequentially. In some embodiments, the generation of the remote plasma occurs first, and the generation of the direct plasma occurs thereafter. In other embodiments, the generation of the direct plasma occurs first and the generation of the remote plasma occurs thereafter. In one or more embodiments, the generation of the remote plasma and the generation of the direct plasma occur simultaneously.
  • In one or more embodiments, an ion filter 312 separates the remote plasma unit 306 and the direct plasma unit 308. In one or more embodiments, the ion filter 312 is used to filter ions from the plasma effluents during transit from the remote plasma unit 306 to the substrate processing region 315. In one or more embodiments, the ion filter 312 functions to reduce or eliminate ionically charged species traveling from the remote plasma unit 306 to the substrate 330. In one or more embodiments, uncharged neutral and radical species may pass through at least one aperture 318 in the ion filter 312 to react at the substrate 330. It should be noted that complete elimination of ionically charged species in the reaction region 315 surrounding the substrate 330 is not always the desired goal. In one or more embodiments, ionic species are required to reach the substrate 330 in order to perform etch and/or deposition processes. In these instances, the ion filter 312 helps control the concentration of ionic species in the reaction region 315 at a level that assists the treat/clean and/or deposition process.
  • In one or more embodiments, the ion filter 312 comprises a showerhead.
  • In one or more embodiments, the processing tool comprises at least one electrode within the processing chamber. In one or more embodiments, the at least one electrode is located within the internal volume 305 of the processing chamber 301. In the embodiment illustrated in FIG. 3, at least one electrode 316 is positioned in electrical communication with the pedestal 314.
  • In one or more embodiments, the processing chamber 301 comprises a pedestal 314. In one or more embodiments, the pedestal 314 is configured to support a semiconductor substrate 330 in a processing region 315. In one or more embodiments, the pedestal 314 may have a heat exchange channel (not illustrated) through which a heat exchange fluid flows to control the temperature of the substrate 330. In one or more embodiments, the substrate 330 temperature can be cooled or heated to maintain relatively low temperatures, such as from about −20° C. to about 400° C., or from about 0° C. to about 400° C. In one or more embodiments, the heat exchange fluid comprises one or more of ethylene glycol or water. In other embodiments, the pedestal 314 is resistively heated in order to achieve relatively high temperatures, such as from about 100° C. to about 1100° C., or from about 200° C. to about 750° C., through the use of an embedded resistive heater element (not illustrated). In one or more embodiments, the pedestal 314 is configured to rotate. In one or more embodiments, the pedestal 314 comprises an electrode 316 within the interior of the pedestal 314, and the pedestal 314 is powered by RF generator 350 and matched by RF match 340. In one or more embodiments, the pedestal 314 is comprised of a metallic material and is, itself, an electrode.
  • In one or more embodiments, at least one power source, e.g. RF generator, 350 is electrically connected via an RF match 340 to the processing chamber 301.
  • In one or more embodiments, two RF generators are electrically connected to the processing chamber 301. In such embodiments, a first RF generator 350 is electrically connected to a pedestal electrode 316, and a second RF generator 355 is electrically connected to an inductively coupled plasma (ICP) coil 370.
  • In one or more embodiments, a plasma is generated using a radio frequency (RF) powered remote plasma unit 306 and direct plasma unit 308. In one or more embodiments, alternating current (AC) power is rectified and switched to provide current to a RF amplifier. The RF amplifier operates at a reference frequency (13.56 MHz, for example), drives current through an output-matching network, and then through a power measurement circuit to the output of the power supply. The output match is usually designed to be connected a generator that is optimized to drive particular impedance, such as, for example, 50 ohms, in order to have the same characteristic impedance as the coaxial cables commonly used in the industry. Power flows through the matched cable sections, is measured by the match controller, and is transformed through the load match. The load match is usually a motorized automatic tuner, so the load match operation incurs a predetermined time delay before the system is properly configured. After passing through the load match, power is then channeled into a plasma excitation circuit that drives two electrodes in an evacuated processing chamber. A processing gas is introduced into the evacuated processing chamber, and when driven by the circuit, plasma is generated. Since the matching network or the load match is motorized, the response time from the matching network is typically on the order of one second or more.
  • In some embodiments, the plasma power is in a range of about 10 W to about 1000 W, including from about 200 W to about 600 W. In some embodiments, the plasma power is less than or equal to about 1000 W, or less than or equal to about 6500 W.
  • The plasma frequency may be any suitable frequency. In some embodiments, the plasma has a frequency in a range of about 200 kHz to 30 MHz. In some embodiments, the plasma frequency is less than or equal to about 20 MHz, less than or equal to about 10 MHz, less than or equal to about 5 MHz, less than or equal to about 1000 kHz, or less than or equal to about 500 kHz. In some embodiments, the plasma frequency is greater than or equal to about 210 kHz, greater than or equal to about 250 kHz, greater than or equal to about 600 kHz, greater than or equal to about 750 MHz, greater than or equal to about 1200 kHz, greater than or equal to about 2 MHz, greater than or equal to about 4 MHz, greater than or equal to about 7 MHz, greater than or equal to about 12 MHz, greater than or equal to about 15 MHz, or greater than or equal to about 25 MHz. In one or more embodiments, the plasma has a frequency of about 13.56 MHz, or about 350 kHz, or about 400 kHz, or about 27 MHz, or about 40 MHz, or about 60 MHz.
  • In one or more embodiments, a controller 320 may be provided and coupled to various components of the processing tool 300 to control the operation thereof. The controller 320 can be a single controller that controls the entire processing tool 300, or multiple controllers that control individual portions of the processing tool 300. For example, the processing tool 300 may include separate controllers for each of the processing chamber 301, remote plasma unit 306, direct plasma unit 308, and power source 350.
  • In some embodiments, the processing chamber 301 further comprises a controller 320. In one or more embodiments, the controller 320 controls the ignition of the plasma by the remote plasma unit 306 and/or the direct plasma unit 308 within the processing chamber 301.
  • In some embodiments, the controller 320 includes a central processing unit (CPU) 322, a memory 324, inputs/outputs (I/O) 326, and support circuits 328. The controller 320 may control the processing tool 300 directly, or via computers (or controllers) associated with particular process chamber and/or support system components.
  • The controller 320 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 324 or computer readable medium of the controller 320 may be one or more of readily available memory such as non-transitory memory (e.g. random access memory (RAM)), read only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disc or digital video disc), flash drive, or any other form of digital storage, local or remote. The memory 324 can retain an instruction set that is operable by the processor (CPU 322) to control parameters and components of the processing tool 300.
  • The support circuits 328 are coupled to the CPU 322 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. One or more processes may be stored in the memory 324 as software routine that, when executed or invoked by the processor, causes the processor to control the operation of the processing tool 300 or individual processing units (e.g. remote plasma unit 306 and direct plasma unit 308) in the manner described herein. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 322.
  • Some or all of the processes and methods of the present disclosure may also be performed in hardware. As such, the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routine, when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • In some embodiments, the controller 320 has one or more configurations to execute individual processes or sub-processes to perform the method. The controller 320 can be connected to and configured to operate intermediate components to perform the functions of the methods. For example, the controller 320 can be connected to and configured to control one or more of the remote plasma unit 306, the direct plasma unit 308, the pedestal 314, the at least one electrode 316, the ICP coil 370, or other components.
  • One or more embodiments are directed to a non-transitory computer readable medium including instructions, that, when executed by a controller of a processing chamber, cause the processing chamber to perform the operations of exposing a substrate to a remote plasma and exposing a substrate to a direct plasma. In one or more embodiments, the non-transitory computer readable medium includes instructions, that, when executed by the controller of the processing chamber, cause the processing chamber to perform the operation of exposing the substrate to at least one precursor to form a film on the substrate.
  • Reference throughout this specification to “one embodiment,” “certain embodiments,” “one or more embodiments” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Although the disclosure herein has been described with reference to particular embodiments, those skilled in the art will understand that the embodiments described are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, the present disclosure can include modifications and variations that are within the scope of the appended claims and their equivalents.

Claims (20)

What is claimed is:
1. A processing chamber comprising:
a lid and sidewalls defining an internal volume;
a remote plasma unit in the internal volume;
a direct plasma unit in the internal volume; and
at least one electrode,
wherein the remote plasma unit generates a remote plasma and the direct plasma unit generates a direct plasma.
2. The processing chamber of claim 1, further comprising an ion filter separating the remote plasma unit and the direct plasma unit.
3. The processing chamber of claim 2, wherein the ion filter comprises a plurality of apertures.
4. The processing chamber of claim 3, wherein the ion filter is a showerhead.
5. The processing chamber of claim 1, further comprising a pedestal.
6. The processing chamber of claim 5, wherein the pedestal comprises the at least one electrode.
7. The processing chamber of claim 5, wherein the at least one electrode is positioned in electrical communication with the remote plasma unit.
8. The processing chamber of claim 1, further comprising an inductively coupled plasma (ICP) coil.
9. The processing chamber of claim 8, wherein the ICP coil is positioned in electrical communication with the remote plasma unit.
10. The processing chamber of claim 1, further comprising a controller.
11. The processing chamber of claim 10, wherein the controller comprises one or more of a central processing unit (CPU), a memory, inputs/outputs (I/O), and support circuits.
12. A processing method comprising: exposing a substrate to a remote plasma and exposing the substrate to a direct plasma.
13. The processing method of claim 12, wherein exposing the substrate to the remote plasma and to the direct plasma occurs sequentially.
14. The processing method of claim 12, wherein exposing the substrate to the remote plasma and to the direct plasma occurs simultaneously.
15. The processing method of claim 12, wherein exposing the substrate to the remote plasma and to the direct plasma cleans or treats the substrate.
16. The processing method of claim 12, further comprising exposing the substrate to at least one precursor to form a film on the substrate.
17. The processing method of claim 12, wherein the substrate comprises one or more of a trench, a via, or a peak.
18. The processing method of claim 17, wherein the substrate comprises one or more of a residue or a native oxide thereon.
19. A non-transitory computer readable medium including instructions, that, when executed by a controller of a processing chamber, causes the processing chamber to perform operations of:
exposing substrate to a remote plasma; and
exposing the substrate to a direct plasma.
20. The non-transitory computer readable medium of claim 19, further including instructions that, when executed by a controller of a processing chamber causes the processing chamber to perform the operations of: exposing the substrate to at least one precursor to form a film on the substrate.
US17/101,074 2019-11-27 2020-11-23 Processing Chamber With Multiple Plasma Units Abandoned US20210159052A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
PCT/US2020/061759 WO2021108294A2 (en) 2019-11-27 2020-11-23 Processing chamber with multiple plasma units
US17/101,074 US20210159052A1 (en) 2019-11-27 2020-11-23 Processing Chamber With Multiple Plasma Units
JP2022529565A JP2023503578A (en) 2019-11-27 2020-11-23 Processing chamber with multiple plasma units
KR1020227021126A KR20220103781A (en) 2019-11-27 2020-11-23 processing chamber with multiple plasma units
US17/844,245 US11955319B2 (en) 2019-11-27 2022-06-20 Processing chamber with multiple plasma units

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962941148P 2019-11-27 2019-11-27
US202062960293P 2020-01-13 2020-01-13
US17/101,074 US20210159052A1 (en) 2019-11-27 2020-11-23 Processing Chamber With Multiple Plasma Units

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/844,245 Division US11955319B2 (en) 2019-11-27 2022-06-20 Processing chamber with multiple plasma units

Publications (1)

Publication Number Publication Date
US20210159052A1 true US20210159052A1 (en) 2021-05-27

Family

ID=75975479

Family Applications (2)

Application Number Title Priority Date Filing Date
US17/101,074 Abandoned US20210159052A1 (en) 2019-11-27 2020-11-23 Processing Chamber With Multiple Plasma Units
US17/844,245 Active US11955319B2 (en) 2019-11-27 2022-06-20 Processing chamber with multiple plasma units

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/844,245 Active US11955319B2 (en) 2019-11-27 2022-06-20 Processing chamber with multiple plasma units

Country Status (5)

Country Link
US (2) US20210159052A1 (en)
JP (1) JP2023503578A (en)
KR (1) KR20220103781A (en)
TW (1) TW202135125A (en)
WO (1) WO2021108294A2 (en)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020073925A1 (en) * 1999-04-22 2002-06-20 David B. Noble Apparatus and method for exposing a substrate to plasma radicals
US20040219737A1 (en) * 2001-12-20 2004-11-04 Tokyo Electron Limited Method and apparatus for processing a workpiece with a plasma
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211170A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20150090583A1 (en) * 2012-06-29 2015-04-02 Canon Anelva Corporation Ion beam processing method and ion beam processing apparatus
US20160276134A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US20170229290A1 (en) * 2016-02-10 2017-08-10 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20190318937A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing Of Workpieces With Reactive Species Generated Using Alkyl Halide
US11195696B2 (en) * 2019-10-10 2021-12-07 Samsung Electronics Co., Ltd. Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5976993A (en) 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
JP2976965B2 (en) * 1998-04-02 1999-11-10 日新電機株式会社 Film forming method and film forming apparatus
US6153530A (en) 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
WO2003029513A1 (en) 2001-09-28 2003-04-10 Tokyo Electron Limited Hybrid plasma processing apparatus
JP2004247675A (en) 2003-02-17 2004-09-02 Renesas Technology Corp Method of manufacturing semiconductor device
KR100655445B1 (en) * 2005-10-04 2006-12-08 삼성전자주식회사 Apparatus and method for treating plasma, and facility for manufacturing semiconductor devices
KR100653217B1 (en) 2006-05-29 2006-12-04 주식회사 아이피에스 Cleaning method of apparatus for depositing metal containing film
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR20080063988A (en) 2007-01-03 2008-07-08 삼성전자주식회사 Etching apparatus using neutral beam
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP2009238878A (en) * 2008-03-26 2009-10-15 Seiko Epson Corp Plasma processing device
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20160358784A1 (en) 2011-09-07 2016-12-08 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
KR101495288B1 (en) 2012-06-04 2015-02-24 피에스케이 주식회사 An apparatus and a method for treating a substrate
KR101379701B1 (en) * 2012-11-28 2014-04-01 한국표준과학연구원 Substrate processing apparatus and substrate processing method
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
KR102109679B1 (en) * 2013-11-07 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
WO2019133272A1 (en) * 2017-12-27 2019-07-04 Mattson Technology, Inc. Plasma processing apparatus and methods
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
CN113519071A (en) 2019-02-28 2021-10-19 朗姆研究公司 Ion beam etching with sidewall cleaning
US11791181B2 (en) * 2019-09-18 2023-10-17 Beijing E-Town Semiconductor Technology Co., Ltd Methods for the treatment of workpieces

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020073925A1 (en) * 1999-04-22 2002-06-20 David B. Noble Apparatus and method for exposing a substrate to plasma radicals
US20040219737A1 (en) * 2001-12-20 2004-11-04 Tokyo Electron Limited Method and apparatus for processing a workpiece with a plasma
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211170A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20150090583A1 (en) * 2012-06-29 2015-04-02 Canon Anelva Corporation Ion beam processing method and ion beam processing apparatus
US20160276134A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US20170229290A1 (en) * 2016-02-10 2017-08-10 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20190318937A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing Of Workpieces With Reactive Species Generated Using Alkyl Halide
US11195696B2 (en) * 2019-10-10 2021-12-07 Samsung Electronics Co., Ltd. Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same

Also Published As

Publication number Publication date
WO2021108294A2 (en) 2021-06-03
WO2021108294A3 (en) 2021-07-08
TW202135125A (en) 2021-09-16
US11955319B2 (en) 2024-04-09
US20220319813A1 (en) 2022-10-06
JP2023503578A (en) 2023-01-31
KR20220103781A (en) 2022-07-22

Similar Documents

Publication Publication Date Title
TWI679674B (en) A method of etching a substrate
US11342167B2 (en) Plasma processing method including cleaning of inside of chamber main body of plasma processing apparatus
US9299579B2 (en) Etching method and plasma processing apparatus
US8557709B2 (en) Plasma processing apparatus and plasma processing method
KR101494923B1 (en) Method for reducing microloading in etching high aspect ratio structures
JP6284786B2 (en) Cleaning method for plasma processing apparatus
TWI651753B (en) Method for etching power modulation of high aspect ratio features
TW201611080A (en) Plasma processing method and plasma processing apparatus
KR101631047B1 (en) Selective inductive double patterning
US20200126759A1 (en) Plasma processing method
US20160181111A1 (en) Silicon etch and clean
US9245764B2 (en) Semiconductor device manufacturing method
US20140179106A1 (en) In-situ metal residue clean
JP7101096B2 (en) Plasma processing method and plasma processing equipment
US20220319837A1 (en) Dual plasma pre-clean for selective gap fill
JP2019087666A (en) Etching method
US11955319B2 (en) Processing chamber with multiple plasma units
TW201842532A (en) Process for performing self-limited etching of organic materials
TWI768026B (en) Silicon-based deposition for semiconductor processing
CN114093740A (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DAITO, KAZUYA;XU, YI;LEI, YU;AND OTHERS;SIGNING DATES FROM 20201208 TO 20201215;REEL/FRAME:054668/0643

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION