SG11201400561TA - Process chamber for etching low k and other dielectric films - Google Patents
Process chamber for etching low k and other dielectric filmsInfo
- Publication number
- SG11201400561TA SG11201400561TA SG11201400561TA SG11201400561TA SG11201400561TA SG 11201400561T A SG11201400561T A SG 11201400561TA SG 11201400561T A SG11201400561T A SG 11201400561TA SG 11201400561T A SG11201400561T A SG 11201400561TA SG 11201400561T A SG11201400561T A SG 11201400561TA
- Authority
- SG
- Singapore
- Prior art keywords
- process chamber
- dielectric films
- etching low
- etching
- low
- Prior art date
Links
- 238000005530 etching Methods 0.000 title 1
- 238000000034 method Methods 0.000 title 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
- C23C16/0245—Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32587—Triode systems
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161552183P | 2011-10-27 | 2011-10-27 | |
US13/651,074 US9666414B2 (en) | 2011-10-27 | 2012-10-12 | Process chamber for etching low k and other dielectric films |
PCT/US2012/060668 WO2013062831A2 (en) | 2011-10-27 | 2012-10-17 | Process chamber for etching low k and other dielectric films |
Publications (1)
Publication Number | Publication Date |
---|---|
SG11201400561TA true SG11201400561TA (en) | 2014-08-28 |
Family
ID=48168761
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG11201400561TA SG11201400561TA (en) | 2011-10-27 | 2012-10-17 | Process chamber for etching low k and other dielectric films |
SG10201709011YA SG10201709011YA (en) | 2011-10-27 | 2012-10-17 | Process chamber for etching low k and other dielectric films |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201709011YA SG10201709011YA (en) | 2011-10-27 | 2012-10-17 | Process chamber for etching low k and other dielectric films |
Country Status (7)
Country | Link |
---|---|
US (4) | US9666414B2 (en) |
JP (6) | JP6438302B2 (en) |
KR (5) | KR101962317B1 (en) |
CN (3) | CN104011837B (en) |
SG (2) | SG11201400561TA (en) |
TW (1) | TWI604524B (en) |
WO (1) | WO2013062831A2 (en) |
Families Citing this family (180)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US10658161B2 (en) * | 2010-10-15 | 2020-05-19 | Applied Materials, Inc. | Method and apparatus for reducing particle defects in plasma etch chambers |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9666414B2 (en) * | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
TWI602283B (en) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | Tungsten feature fill |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9165783B2 (en) * | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140262031A1 (en) * | 2013-03-12 | 2014-09-18 | Sergey G. BELOSTOTSKIY | Multi-mode etch chamber source assembly |
KR102203098B1 (en) | 2013-07-25 | 2021-01-15 | 삼성디스플레이 주식회사 | Vapor deposition apparatus |
US9543163B2 (en) * | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
US8980758B1 (en) * | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
JP2015084396A (en) * | 2013-09-19 | 2015-04-30 | 東京エレクトロン株式会社 | Etching method |
US9514953B2 (en) * | 2013-11-20 | 2016-12-06 | Applied Materials, Inc. | Methods for barrier layer removal |
US9620382B2 (en) * | 2013-12-06 | 2017-04-11 | University Of Maryland, College Park | Reactor for plasma-based atomic layer etching of materials |
US20150200042A1 (en) * | 2014-01-10 | 2015-07-16 | Applied Materials, Inc. | Recessing ultra-low k dielectric using remote plasma source |
US9368370B2 (en) * | 2014-03-14 | 2016-06-14 | Applied Materials, Inc. | Temperature ramping using gas distribution plate heat |
JP6230954B2 (en) * | 2014-05-09 | 2017-11-15 | 東京エレクトロン株式会社 | Etching method |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
JP6373150B2 (en) * | 2014-06-16 | 2018-08-15 | 東京エレクトロン株式会社 | Substrate processing system and substrate processing method |
KR101745686B1 (en) | 2014-07-10 | 2017-06-12 | 도쿄엘렉트론가부시키가이샤 | Methods for high precision etching of substrates |
JP6382055B2 (en) * | 2014-10-07 | 2018-08-29 | 東京エレクトロン株式会社 | Method for processing an object |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9972504B2 (en) * | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10504700B2 (en) * | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
ES2672245T3 (en) * | 2015-08-31 | 2018-06-13 | Total S.A. | Plasma generating apparatus and method of manufacturing devices with standards using spatially resolved plasma processing |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10256076B2 (en) | 2015-10-22 | 2019-04-09 | Applied Materials, Inc. | Substrate processing apparatus and methods |
KR102481166B1 (en) * | 2015-10-30 | 2022-12-27 | 삼성전자주식회사 | Method of post-etching |
CN108431930A (en) * | 2016-01-07 | 2018-08-21 | 应用材料公司 | Atomic layer etch system with remote plasma source and DC electrodes |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
WO2017136093A1 (en) * | 2016-02-05 | 2017-08-10 | Applied Materials, Inc. | Integrated layer etch system with multiple type chambers |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
CN108885402B (en) * | 2016-02-29 | 2020-01-14 | 东京毅力科创株式会社 | Selective SiARC removal |
US10249521B2 (en) * | 2016-03-17 | 2019-04-02 | Lam Research Ag | Wet-dry integrated wafer processing system |
JP6770848B2 (en) | 2016-03-29 | 2020-10-21 | 東京エレクトロン株式会社 | How to process the object to be processed |
WO2017170405A1 (en) * | 2016-03-29 | 2017-10-05 | 東京エレクトロン株式会社 | Method for processing object to be processed |
US10269566B2 (en) * | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6606464B2 (en) * | 2016-05-20 | 2019-11-13 | 東京エレクトロン株式会社 | Etching method |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9837312B1 (en) | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
WO2018111333A1 (en) * | 2016-12-14 | 2018-06-21 | Mattson Technology, Inc. | Atomic layer etch process using plasma in conjunction with a rapid thermal activation process |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10840068B2 (en) * | 2017-02-15 | 2020-11-17 | Yield Engineering Systems, Inc. | Plasma spreading apparatus and method of spreading plasma in process ovens |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
SG11201908533PA (en) * | 2017-03-17 | 2019-10-30 | Tokyo Electron Ltd | Surface modification control for etch metric enhancement |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
JP7071850B2 (en) * | 2017-05-11 | 2022-05-19 | 東京エレクトロン株式会社 | Etching method |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10361091B2 (en) * | 2017-05-31 | 2019-07-23 | Lam Research Corporation | Porous low-k dielectric etch |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) * | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP6883495B2 (en) * | 2017-09-04 | 2021-06-09 | 東京エレクトロン株式会社 | Etching method |
JP6977474B2 (en) * | 2017-10-23 | 2021-12-08 | 東京エレクトロン株式会社 | Manufacturing method of semiconductor device |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
KR102538177B1 (en) | 2017-11-16 | 2023-05-31 | 삼성전자주식회사 | Deposition apparatus including upper shower head and lower shower head |
KR102404061B1 (en) | 2017-11-16 | 2022-05-31 | 삼성전자주식회사 | Deposition apparatus including upper shower head and lower shower head |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
KR20240027863A (en) * | 2018-03-16 | 2024-03-04 | 램 리써치 코포레이션 | Plasma etching chemistries of high aspect ratio features in dielectrics |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
JP6811202B2 (en) * | 2018-04-17 | 2021-01-13 | 東京エレクトロン株式会社 | Etching method and plasma processing equipment |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7022651B2 (en) * | 2018-05-28 | 2022-02-18 | 東京エレクトロン株式会社 | Film etching method and plasma processing equipment |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US11437238B2 (en) | 2018-07-09 | 2022-09-06 | Applied Materials, Inc. | Patterning scheme to improve EUV resist and hard mask selectivity |
KR102088596B1 (en) * | 2018-07-09 | 2020-06-01 | 램 리써치 코포레이션 | Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
CN112673456A (en) * | 2018-09-10 | 2021-04-16 | 朗姆研究公司 | Atomic layer treatment process using metastable activated radical species |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11114306B2 (en) * | 2018-09-17 | 2021-09-07 | Applied Materials, Inc. | Methods for depositing dielectric material |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
JP7138529B2 (en) * | 2018-09-28 | 2022-09-16 | 東京エレクトロン株式会社 | Etching method |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11114304B2 (en) * | 2018-11-30 | 2021-09-07 | Tokyo Electron Limited | Substrate processing method |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7451540B2 (en) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | Feedback loop for controlling pulsed voltage waveforms |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US11387071B2 (en) | 2019-10-06 | 2022-07-12 | Applied Materials, Inc. | Multi-source ion beam etch system |
KR20210042653A (en) * | 2019-10-10 | 2021-04-20 | 주성엔지니어링(주) | Substrate Processing Apparatus |
US20210159052A1 (en) * | 2019-11-27 | 2021-05-27 | Applied Materials, Inc. | Processing Chamber With Multiple Plasma Units |
US20210210355A1 (en) * | 2020-01-08 | 2021-07-08 | Tokyo Electron Limited | Methods of Plasma Processing Using a Pulsed Electron Beam |
JP7414593B2 (en) * | 2020-03-10 | 2024-01-16 | 東京エレクトロン株式会社 | Substrate processing method and substrate processing apparatus |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
US11515150B2 (en) * | 2020-10-22 | 2022-11-29 | Applied Materials, Inc. | Hardmask tuning by electrode adjustment |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11195723B1 (en) * | 2020-12-11 | 2021-12-07 | Tokyo Electron Limited | Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch |
WO2022154936A2 (en) | 2020-12-18 | 2022-07-21 | Heraeus Conamic North America Llc | Plasma resistant yttrium aluminum oxide chamber components |
JP2022098353A (en) * | 2020-12-21 | 2022-07-01 | 東京エレクトロン株式会社 | Plasma processing apparatus |
JP7312160B2 (en) * | 2020-12-28 | 2023-07-20 | 株式会社アルバック | Etching apparatus and etching method |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
KR20220158930A (en) * | 2021-05-25 | 2022-12-02 | 세메스 주식회사 | Apparatus for treating substrate and method for treating substrate |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US20230033058A1 (en) * | 2021-07-29 | 2023-02-02 | Applied Materials, Inc. | Reactor with inductively coupled plasma source |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
US20230317419A1 (en) * | 2022-04-01 | 2023-10-05 | Semes Co., Ltd. | Apparatus and method for processing substrate using plasma |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US20230402286A1 (en) * | 2022-06-10 | 2023-12-14 | Applied Materials, Inc. | Method and apparatus for etching a semiconductor substrate in a plasma etch chamber |
JP2024039508A (en) * | 2022-09-09 | 2024-03-22 | 東京エレクトロン株式会社 | Plasma processing apparatus |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
US20240162043A1 (en) * | 2022-11-16 | 2024-05-16 | Tokyo Electron Limited | Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification |
Family Cites Families (58)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63219129A (en) * | 1987-03-06 | 1988-09-12 | Shimadzu Corp | Dry-etching device |
US4889588A (en) * | 1989-05-01 | 1989-12-26 | Tegal Corporation | Plasma etch isotropy control |
JPH03129821A (en) * | 1989-10-16 | 1991-06-03 | Seiko Epson Corp | Manufacture of semiconductor device |
JPH04132219A (en) * | 1990-09-24 | 1992-05-06 | Sony Corp | Plasma treatment apparatus and manufacture of semiconductor device using same |
JPH04302426A (en) * | 1991-03-29 | 1992-10-26 | Sony Corp | Digital etching method |
KR100276093B1 (en) | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | Plasma etching system |
JPH06177089A (en) * | 1992-12-04 | 1994-06-24 | Fujitsu Ltd | Manufacture of semiconductor device |
US5449410A (en) * | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
JP3279038B2 (en) * | 1994-01-31 | 2002-04-30 | ソニー株式会社 | Plasma apparatus and plasma processing method using the same |
US5895549A (en) * | 1994-07-11 | 1999-04-20 | Applied Komatsu Technology, Inc. | Method and apparatus for etching film layers on large substrates |
US5705443A (en) * | 1995-05-30 | 1998-01-06 | Advanced Technology Materials, Inc. | Etching method for refractory materials |
US6042686A (en) * | 1995-06-30 | 2000-03-28 | Lam Research Corporation | Power segmented electrode |
US5882489A (en) * | 1996-04-26 | 1999-03-16 | Ulvac Technologies, Inc. | Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers |
US5882414A (en) | 1996-09-09 | 1999-03-16 | Applied Materials, Inc. | Method and apparatus for self-cleaning a blocker plate |
WO1998020896A1 (en) | 1996-11-15 | 1998-05-22 | Health Research Inc. | A method for inducing apoptosis of primary central nervous system b cell lymphomas |
JP3940467B2 (en) * | 1997-06-03 | 2007-07-04 | 株式会社アルバック | Reactive ion etching apparatus and method |
US6203862B1 (en) | 1998-05-13 | 2001-03-20 | Intevac, Inc. | Processing systems with dual ion sources |
US6465159B1 (en) * | 1999-06-28 | 2002-10-15 | Lam Research Corporation | Method and apparatus for side wall passivation for organic etch |
JP2001035832A (en) * | 1999-07-16 | 2001-02-09 | Canon Inc | Dry etching method |
US6734110B1 (en) * | 1999-10-14 | 2004-05-11 | Taiwan Semiconductor Manufacturing Company | Damascene method employing composite etch stop layer |
WO2001052302A1 (en) * | 2000-01-10 | 2001-07-19 | Tokyo Electron Limited | Segmented electrode assembly and method for plasma processing |
US20030079983A1 (en) * | 2000-02-25 | 2003-05-01 | Maolin Long | Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources |
WO2001073814A2 (en) * | 2000-03-28 | 2001-10-04 | Tokyo Electron Limited | Method and apparatus for controlling power delivered to a multiple segment electrode |
US20020177321A1 (en) * | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US6455417B1 (en) * | 2001-07-05 | 2002-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer |
KR100433006B1 (en) * | 2001-10-08 | 2004-05-28 | 주식회사 플라즈마트 | Multi-Functional Plasma Generator |
JP3732138B2 (en) | 2001-10-26 | 2006-01-05 | 日野自動車株式会社 | Engine exhaust pipe |
US6887340B2 (en) | 2001-11-13 | 2005-05-03 | Lam Research Corporation | Etch rate uniformity |
US7247223B2 (en) * | 2002-05-29 | 2007-07-24 | Semitool, Inc. | Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces |
US7024105B2 (en) | 2003-10-10 | 2006-04-04 | Applied Materials Inc. | Substrate heater assembly |
CN100541736C (en) * | 2003-11-11 | 2009-09-16 | 东京毅力科创株式会社 | Substrate processing method using same |
KR100900587B1 (en) * | 2003-11-11 | 2009-06-02 | 도쿄엘렉트론가부시키가이샤 | Method for processing substrate |
JP4231417B2 (en) | 2004-01-07 | 2009-02-25 | パナソニック株式会社 | Substrate processing apparatus and cleaning method thereof |
JP2006019571A (en) | 2004-07-02 | 2006-01-19 | Toshiba Corp | Semiconductor storage and manufacturing method thereof |
JP2006024730A (en) * | 2004-07-08 | 2006-01-26 | Sony Corp | Manufacturing method of semiconductor device |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
KR100640950B1 (en) * | 2004-12-29 | 2006-11-02 | 동부일렉트로닉스 주식회사 | Method for Forming Metal Wire of Semiconductor Divice |
KR100725037B1 (en) | 2005-01-21 | 2007-06-07 | 세메스 주식회사 | Apparatus and method for treating semiconductor device with plasma |
JP2007088411A (en) * | 2005-06-28 | 2007-04-05 | Hitachi High-Technologies Corp | Electrostatic attraction device, wafer processing apparatus and plasma processing method |
JP4862425B2 (en) * | 2006-02-24 | 2012-01-25 | ソニー株式会社 | Substrate processing method and substrate processing apparatus |
JP2007266455A (en) | 2006-03-29 | 2007-10-11 | Tokyo Electron Ltd | Substrate processing apparatus and method, and storage medium |
JP2007266522A (en) * | 2006-03-30 | 2007-10-11 | Ebara Corp | Plasma treatment device and processing method employing it |
KR100864111B1 (en) * | 2006-05-22 | 2008-10-16 | 최대규 | Inductively coupled plasma reactor |
US8124516B2 (en) * | 2006-08-21 | 2012-02-28 | Lam Research Corporation | Trilayer resist organic layer etch |
JP2008050055A (en) | 2006-08-26 | 2008-03-06 | Maakisu:Kk | Bottle |
JP5192209B2 (en) * | 2006-10-06 | 2013-05-08 | 東京エレクトロン株式会社 | Plasma etching apparatus, plasma etching method, and computer-readable storage medium |
US20080178805A1 (en) * | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
JPWO2008117832A1 (en) | 2007-03-27 | 2010-07-15 | キヤノンアネルバ株式会社 | Vacuum processing equipment |
US7988815B2 (en) * | 2007-07-26 | 2011-08-02 | Applied Materials, Inc. | Plasma reactor with reduced electrical skew using electrical bypass elements |
CN101911840B (en) * | 2007-12-25 | 2013-04-17 | 应用材料公司 | Asymmetrical RF drive for electrode of plasma chamber |
US9520275B2 (en) * | 2008-03-21 | 2016-12-13 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
US20090277587A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
KR101440415B1 (en) | 2008-07-02 | 2014-09-17 | 주식회사 원익아이피에스 | Vacuum Processing Apparatus |
US8026157B2 (en) * | 2009-09-02 | 2011-09-27 | Applied Materials, Inc. | Gas mixing method realized by back diffusion in a PECVD system with showerhead |
US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
CN102931222B (en) | 2011-08-08 | 2015-05-20 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US9325294B2 (en) | 2013-03-15 | 2016-04-26 | Resonant Inc. | Microwave acoustic wave filters |
-
2012
- 2012-10-12 US US13/651,074 patent/US9666414B2/en active Active
- 2012-10-17 KR KR1020147014069A patent/KR101962317B1/en active IP Right Grant
- 2012-10-17 KR KR1020217006126A patent/KR102346730B1/en active IP Right Grant
- 2012-10-17 CN CN201280048477.6A patent/CN104011837B/en active Active
- 2012-10-17 CN CN201710127682.6A patent/CN106876264B/en active Active
- 2012-10-17 JP JP2014538839A patent/JP6438302B2/en active Active
- 2012-10-17 SG SG11201400561TA patent/SG11201400561TA/en unknown
- 2012-10-17 KR KR1020217043165A patent/KR20220083977A/en unknown
- 2012-10-17 SG SG10201709011YA patent/SG10201709011YA/en unknown
- 2012-10-17 WO PCT/US2012/060668 patent/WO2013062831A2/en active Application Filing
- 2012-10-17 CN CN201910594878.5A patent/CN110289233B/en active Active
- 2012-10-17 KR KR1020197007964A patent/KR102121186B1/en active IP Right Grant
- 2012-10-17 KR KR1020207009388A patent/KR102223704B1/en active IP Right Grant
- 2012-10-23 TW TW101139110A patent/TWI604524B/en active
-
2017
- 2017-04-24 US US15/495,832 patent/US10096496B2/en active Active
- 2017-10-18 JP JP2017201825A patent/JP6787868B2/en active Active
-
2018
- 2018-08-01 JP JP2018144772A patent/JP6663457B2/en active Active
- 2018-08-21 US US16/107,845 patent/US10923367B2/en active Active
-
2019
- 2019-05-07 JP JP2019087346A patent/JP6875452B2/en active Active
-
2020
- 2020-01-24 JP JP2020009882A patent/JP2020074452A/en active Pending
-
2021
- 2021-01-08 US US17/145,194 patent/US11410860B2/en active Active
- 2021-07-23 JP JP2021121123A patent/JP7250857B2/en active Active
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG11201400561TA (en) | Process chamber for etching low k and other dielectric films | |
TWI560768B (en) | Methods for etch of metal and metal-oxide films | |
EP2909868A4 (en) | Method of metallizing dielectric film | |
SG10202113236SA (en) | Fluorocarbon molecules for high aspect ratio oxide etch | |
EP2666181A1 (en) | Plasma treatment device for producing coatings | |
EP2526743A4 (en) | Process condition sensing device for plasma chamber | |
EP2755230A4 (en) | Plasma etching method | |
EP2910604A4 (en) | High dielectric film | |
EP2725587A4 (en) | Method for forming conductive film, conductive film, insulation method, and insulation film | |
EP2755229A4 (en) | Dry etching method | |
EP2782120A4 (en) | Etching method | |
EP2615889A4 (en) | Plasma processing apparatus | |
EP2727977A4 (en) | Etching solution and etching process using same | |
EP2913422A4 (en) | Thin film formation apparatus, sputtering cathode, and method of forming thin film | |
IL227985A0 (en) | Method for forming insulating film | |
EP2782198A4 (en) | High-frequency plasma spark plug | |
EP2615888A4 (en) | Plasma processing apparatus | |
EP2672102A4 (en) | Plasma device | |
EP2707598A4 (en) | Plasma micro-thruster | |
GB201217712D0 (en) | methods of plasma etching | |
SG11201502334XA (en) | Substrate process chamber exhaust | |
EP2679703A4 (en) | Magnetron electrode for plasma processing | |
SG11201503933RA (en) | Substrate etching method | |
SG11201502333VA (en) | Substrate orienter chamber | |
EP2903019A4 (en) | Plasma etching device |