JP2020515063A - エッチングメトリックを向上させるための表面改質制御 - Google Patents
エッチングメトリックを向上させるための表面改質制御 Download PDFInfo
- Publication number
- JP2020515063A JP2020515063A JP2019550724A JP2019550724A JP2020515063A JP 2020515063 A JP2020515063 A JP 2020515063A JP 2019550724 A JP2019550724 A JP 2019550724A JP 2019550724 A JP2019550724 A JP 2019550724A JP 2020515063 A JP2020515063 A JP 2020515063A
- Authority
- JP
- Japan
- Prior art keywords
- surface modification
- modification process
- layer
- substrate
- resist
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 230000004048 modification Effects 0.000 title claims abstract description 135
- 238000012986 modification Methods 0.000 title claims abstract description 135
- 238000005530 etching Methods 0.000 title claims description 52
- 238000000034 method Methods 0.000 claims abstract description 288
- 230000008569 process Effects 0.000 claims abstract description 192
- 239000000758 substrate Substances 0.000 claims abstract description 105
- 238000012545 processing Methods 0.000 claims abstract description 104
- 238000012544 monitoring process Methods 0.000 claims abstract description 45
- 230000003595 spectral effect Effects 0.000 claims abstract description 45
- 238000000295 emission spectrum Methods 0.000 claims abstract description 25
- 230000003287 optical effect Effects 0.000 claims abstract description 13
- 238000004993 emission spectroscopy Methods 0.000 claims abstract description 9
- 239000007789 gas Substances 0.000 claims description 61
- 239000000463 material Substances 0.000 claims description 33
- 239000000203 mixture Substances 0.000 claims description 30
- 239000003989 dielectric material Substances 0.000 claims description 21
- 238000010894 electron beam technology Methods 0.000 claims description 20
- 238000004519 manufacturing process Methods 0.000 claims description 14
- 229920002120 photoresistant polymer Polymers 0.000 claims description 14
- 238000005137 deposition process Methods 0.000 claims description 13
- 150000001875 compounds Chemical class 0.000 claims description 12
- 238000000151 deposition Methods 0.000 claims description 11
- 230000008021 deposition Effects 0.000 claims description 11
- 238000001228 spectrum Methods 0.000 claims description 11
- 229910052731 fluorine Inorganic materials 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- 229910052751 metal Inorganic materials 0.000 claims description 8
- 239000002184 metal Substances 0.000 claims description 8
- 229910052799 carbon Inorganic materials 0.000 claims description 7
- 238000005229 chemical vapour deposition Methods 0.000 claims description 7
- 229910044991 metal oxide Inorganic materials 0.000 claims description 7
- 150000004706 metal oxides Chemical class 0.000 claims description 7
- 229910052757 nitrogen Inorganic materials 0.000 claims description 7
- 238000009832 plasma treatment Methods 0.000 claims description 7
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 claims description 6
- 230000000704 physical effect Effects 0.000 claims description 6
- 238000001020 plasma etching Methods 0.000 claims description 6
- 239000000126 substance Substances 0.000 claims description 6
- 229910052729 chemical element Inorganic materials 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 5
- 238000011065 in-situ storage Methods 0.000 claims description 5
- 238000002407 reforming Methods 0.000 claims description 5
- 229910052719 titanium Inorganic materials 0.000 claims description 5
- 229910052726 zirconium Inorganic materials 0.000 claims description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 4
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 4
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 4
- 230000008859 change Effects 0.000 claims description 4
- 239000011737 fluorine Substances 0.000 claims description 4
- 239000001257 hydrogen Substances 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- 239000001301 oxygen Substances 0.000 claims description 4
- 238000007740 vapor deposition Methods 0.000 claims description 4
- 238000001069 Raman spectroscopy Methods 0.000 claims description 3
- 230000015556 catabolic process Effects 0.000 claims description 3
- 238000006731 degradation reaction Methods 0.000 claims description 3
- 238000007654 immersion Methods 0.000 claims description 3
- 230000000977 initiatory effect Effects 0.000 claims description 3
- 238000000206 photolithography Methods 0.000 claims description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims description 2
- 229910052786 argon Inorganic materials 0.000 claims description 2
- 229910052801 chlorine Inorganic materials 0.000 claims description 2
- 239000000460 chlorine Substances 0.000 claims description 2
- 239000001307 helium Substances 0.000 claims description 2
- 229910052734 helium Inorganic materials 0.000 claims description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 2
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims description 2
- 238000001499 laser induced fluorescence spectroscopy Methods 0.000 claims description 2
- 238000004556 laser interferometry Methods 0.000 claims description 2
- 238000001307 laser spectroscopy Methods 0.000 claims description 2
- 238000004949 mass spectrometry Methods 0.000 claims description 2
- 239000011593 sulfur Substances 0.000 claims description 2
- 229910052717 sulfur Inorganic materials 0.000 claims description 2
- 229910004018 SiF Inorganic materials 0.000 claims 2
- 229910004541 SiN Inorganic materials 0.000 claims 2
- 229910002091 carbon monoxide Inorganic materials 0.000 claims 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 238000001636 atomic emission spectroscopy Methods 0.000 description 106
- 239000010410 layer Substances 0.000 description 84
- 238000001723 curing Methods 0.000 description 51
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 26
- 238000009472 formulation Methods 0.000 description 24
- 238000004377 microelectronic Methods 0.000 description 16
- 238000010586 diagram Methods 0.000 description 14
- 239000004065 semiconductor Substances 0.000 description 12
- 238000011282 treatment Methods 0.000 description 11
- 238000001312 dry etching Methods 0.000 description 9
- 235000012431 wafers Nutrition 0.000 description 9
- 239000006227 byproduct Substances 0.000 description 8
- 230000000875 corresponding effect Effects 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- CSJDCSCTVDEHRN-UHFFFAOYSA-N methane;molecular oxygen Chemical compound C.O=O CSJDCSCTVDEHRN-UHFFFAOYSA-N 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 5
- 238000001227 electron beam curing Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- 230000006872 improvement Effects 0.000 description 4
- 238000002203 pretreatment Methods 0.000 description 4
- 230000004044 response Effects 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 230000009286 beneficial effect Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000002596 correlated effect Effects 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- -1 for example Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000009499 grossing Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000014759 maintenance of location Effects 0.000 description 2
- 238000005457 optimization Methods 0.000 description 2
- 230000035515 penetration Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007781 pre-processing Methods 0.000 description 2
- 238000004611 spectroscopical analysis Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 239000002344 surface layer Substances 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 230000009466 transformation Effects 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 206010034972 Photosensitivity reaction Diseases 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- CKUAXEQHGKSLHN-UHFFFAOYSA-N [C].[N] Chemical compound [C].[N] CKUAXEQHGKSLHN-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000005055 memory storage Effects 0.000 description 1
- 239000002159 nanocrystal Substances 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 230000036211 photosensitivity Effects 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000003223 protective agent Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 230000009897 systematic effect Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 1
- 229910001887 tin oxide Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000000844 transformation Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
- H01L22/26—Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
- H01J37/32972—Spectral analysis
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
- G03F7/2024—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Automation & Control Theory (AREA)
- Drying Of Semiconductors (AREA)
- Weting (AREA)
- Plasma Technology (AREA)
Abstract
基板に形成した層をプラズマで表面改質するプロセスを監視し制御する方法を開示する。方法は、プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、プラズマ処理チャンバでプラズマを発生させ基板に形成した層の表面改質プロセスを開始する工程と、層の表面改質プロセスの間に、プラズマ処理チャンバに取り付けられた発光分光システムから光放射スペクトルを獲得する工程とを含む。一実施形態では、方法は、獲得した光放射スペクトルに基づいて表面改質プロセスの少なくとも1つのパラメータを変更する工程を含む。一実施形態では、獲得した光放射スペクトルは、スペクトル線の強度、スペクトル線の勾配、又は両方を含み得るものであり、表面改質プロセスの終点制御を可能にする。追加の方法及び関連するシステムについても開示する。
Description
本願は、以下の同時係属中の仮出願、2017年3月17日に提出された「SURFACE MODIFICATION CONTROL FOR ETCH METRIC ENHANCEMENT」という名称の米国仮特許出願第62/473,193号明細書の優先権を主張するものであり、その全体が参照によってここに含まれる。
プラズマドライエッチングプロセスのエッチング終点を決定するために、発光分光(OES)スペクトルが使用されてきた。例えば、エッチングプロセスが異なる成分材料を有する第1の材料層を通り抜け第2の材料層に入ると、OESスペクトル成分に急激な変化が見られ得ることが多い。この急激な変化は、エッチング終点の指針として使用し得る。適切なエッチング終点を特定することは、エッチングプロセス中に停止層内で起こるエッチングの量を制御するのに役立つ。しかし、エッチングプロセスは、より精密な終点制御をもってしてもなお、エッチングプロセス中に形成されたパターンフィーチャの線幅、線縁、限界寸法(CD)において望ましくないばらつきをもたらし得る。それぞれその全体が参照によってここに含まれる米国特許第5,980,767号明細書、米国特許第6,677,604号明細書、米国特許出願公開第2005/0173375号明細書に、OESシステム及びOES方法の例が説明されている。
図1A(従来技術)は、例えばOES終点制御を用いるエッチングプロセスを含む製造プロセスの一例の実施形態100のフローチャートである。ブロック102において、マイクロ電子ワークピース用の基板にレジスト(例えば、フォトレジスト)層を形成し、基板は事前に形成した1つ以上の層及び/又は構造を含み得る。ブロック104において、レジスト層に、標準のプロセスパラメータを用いて前処理プロセスを施す。前処理は、例えば、上部電極DC重畳(DCS)電圧の印加を利用した電子ビーム(eビーム)レジスト表面改質前処理を含み得る。ブロック106において、例えばOES終点制御を用いて、エッチングプロセスを実施しパターン構造を作成する。このエッチングプロセスは、一部において、パターン構造を形成するためのレジスト層を用いる。その全体が参照によってここに含まれる米国特許第2015/0160557号明細書に、DCS前処理を利用するシステム及び方法の例が説明されている。
図1B(従来技術)は、マイクロ電子ワークピース用の基板152に図1A(従来技術)のステップを用いて形成された線構造154を含むパターン構造の一例の実施形態150である。線構造154は、1つ以上の層を含む。線構造154間のばらつきは、基板152に対して形成されるマイクロ電子デバイスに後続の問題をもたらし得る。これらのばらつきには、線構造154間のトレンチの底面156におけるCDばらつき、線構造154の幅158についての線幅のばらつき、線構造154の縁部160についての線縁のばらつきが含まれる。CDばらつき、線幅粗さ(LWR)、及び線縁粗さ(LER) は、エッチングプロセス及び/又は他の表面改質プロセスの成功を評価するのに用いられることの多いパラメータである。典型では、マイクロ電子ワークピース用の基板内に形成されたパターン構造内のCDばらつき、LER、LWRを低減させることが望ましい。他のエッチングメトリックについても、エッチングプロセスについて監視され、及び/又は測定され得る。
基板に形成した層をプラズマにより表面改質するプロセスを監視し制御する方法を開示する。方法は、プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、プラズマ処理チャンバでプラズマを発生させ、基板に形成した層の表面改質プロセスを開始する工程と、層の表面改質プロセスの間に、プラズマ処理チャンバに取り付けられた発光分光システムから光放射スペクトルを獲得する工程とを含む。一実施形態では、方法は又、獲得した光放射スペクトルに基づいて表面改質プロセスの少なくとも1つのパラメータを変更する工程をも含む。一実施形態では、獲得した光放射スペクトルには、スペクトル線強度、スペクトル線勾配、又は両方が含み得る。追加の方法及び関連するシステムについても開示する。
ここに説明したように、統合された一連のエッチング中の様々な時点で適用され得るフォトレジスト(例えば、レジスト)表面改質ステップの発光分光(OES)スペクトルは、後続のウエハ間のエッチング均一性を成し系統的に制御するための事後エッチングメトリックと相関関係にあり、OES終点制御の実行可能性及び適用を決定するものであった。この概念は、レジスト前処理表面改質ステップ又はハードニングステップ、又はハードマスクのドライエッチングを開始する前の残留物除去のためのカス除去ステップでさえも含む広範囲のプラズマベースのドライエッチングプロセス又は堆積プロセスに適用するのに十分に包括的なものである。又、プロセスは、例えば、ナノ結晶ハードマスク及びスピンオンプロセス及び薄膜堆積プロセスによって適用される誘電体など、ほかの材料で作られた層の表面改質プロセスに適用可能である。
以下にさらに説明し要約するように、バックエンドオブライン(BEOL)トレンチウエハを用いるエッチングチャンバで概念を実証し、実行し製造するのに新しい最もよく知られる方法(BKM)を生み出すことに成功した。これにより、このアプローチは、所望のエッチングメトリックを達成するためにプラズマについてバイアスを生じさせるための上部電極DC重畳電圧(例えば、DCS電圧)の印加を利用した電子ビーム(eビーム)レジスト表面改質前処理を制御可能に適用するハードウェア機能を提供するものである。フォトレジストは、C−H分子を主成分とすることが多く、反応性ガス暴露と組み合わせた電子ビーム露光は、CH架橋結合を誘発させ、CH結合密度が表面で増大するために材料をハードニングさせて高いエッチング耐性につなげる。さらに、特にCHガス強度の追跡を含む、原位置でのOES終点レジスト表面改質制御は、所望のエッチングメトリックを改善するのにあまり直接的でなくより時間のかかる、域外で事後エッチングメトリック−レジスト特性変化を相関させる業界標準と対比して、CD調整及び進歩的なパターニング方法に適用可能であると思われる。他の変形例についても、ここに説明した技術をなお利用して実行可能である。
様々な実施形態が実行可能であり、異なるフィーチャ及び変形例が採用可能である。
一実施形態では、基板に形成した層をプラズマにより表面改質するプロセスを監視し制御する方法を開示し、方法は、プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、プラズマ処理チャンバでプラズマを発生させ基板に形成した層の表面改質プロセスを開始する工程と、層の表面改質プロセスの間に、プラズマ処理チャンバに取り付けられた発光分光システムから光放射スペクトルを獲得する工程とを含む。
追加の実施形態では、プラズマ処理システムはプラズマエッチングシステムであり、表面改質プロセスを1つ以上のエッチングプロセスの前か又は後に行う。さらなる実施形態では、プラズマ処理システムは堆積機能及び/又はエッチング機能のあるプラズマシステムであり、改質プロセスを1つ以上のエッチングプロセス又は堆積プロセスの前か又は後に行う。
追加の実施形態では、獲得した光放射スペクトルからのデータを、表面改質プロセスの終点を定めるために用いる。さらなる実施形態では、方法は又、光放射スペクトルに基づいて定めた終点時間で表面改質プロセスを停止する工程をも含む。終点の一例は、表面改質プロセスに総合的に関連した、スペクトルの最初の急上昇後の代表的な波長の強度の水平化であり得る。
追加の実施形態では、獲得した光放射スペクトルからのデータは、スペクトル線強度、スペクトル線勾配、又は両方を含む。さらなる実施形態では、スペクトル線は、N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及びFからなるグループから選択した化学元素又は化合物に相当するスペクトル線である。別のさらなる実施形態では、スペクトル線は、N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及びFのうち1つ以上を含む化学元素又は化合物に相当するスペクトル線である。
追加の実施形態では、方法は、獲得した光放射スペクトルに基づいて表面改質プロセスの少なくとも1つのパラメータを変更する工程をも含む。さらなる実施形態では、表面改質プロセスの少なくとも1つのパラメータを、プラズマ処理チャンバに供給されたRF又はマイクロ波電力、RF又はマイクロ波電力パルス周波数、RF又はマイクロ波パルスデューティーサイクル、基板温度、プラズマ処理チャンバ内の基板ホルダに供給されたRF電力、基板ホルダのDCバイアス、基板ホルダの近くに配された少なくとも1つの電極に供給されたDCバイアス電圧、ガス流量、ガス圧、表面改質ガス流、表面改質ガス圧、及び表面改質プロセスの継続時間からなるグループから選択する。別のさらなる実施形態では、表面改質プロセスの少なくとも1つのパラメータは、プラズマ処理チャンバに供給されるRF又はマイクロ波電力、RF又はマイクロ波電力パルス周波数、RF又はマイクロ波パルスデューティーサイクル、基板温度、プラズマ処理チャンバ内の基板ホルダに供給されるRF電力、基板ホルダのDCバイアス、基板ホルダの近くに配された少なくとも1つの電極に供給されるDCバイアス電圧、ガス流量、ガス圧、表面改質ガス流、表面改質ガス圧、及び表面改質プロセスの継続時間のうち1つ以上を含む。
又さらなる実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を、表面改質プロセスの継続時間を最小限に抑えるために実行する。別の実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を、表面改質プロセスに続くプラズマエッチングプロセス又は堆積プロセスの間に形成するパターンの物理的特性又は幾何学的特徴又は両方を改善するために実行する。さらなる実施形態では、物理的特性は濃度又はウェットエッチング耐性のうち少なくとも1つを含み、幾何学的特徴は線幅粗さ(LWR)又は線縁粗さ(LER)のうち少なくとも1つを含む。
追加の実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を、表面改質プロセスに続くエッチングプロセス又は堆積プロセスの間に形成するパターンの均一性メトリックを最大限にするために実行する。さらなる実施形態では、均一性メトリックを、限界寸法(CD)均一性、側壁角度(SWA)均一性、及びトレンチ深さ均一性(TDU)からなるグループから選択する。別のさらなる実施形態では、均一性メトリックは、限界寸法(CD)均一性、側壁角度(SWA) 均一性、及びトレンチ深さ均一性(TDU)のうち1つ以上を含む。
追加の実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を、後に処理する製造基板又は後に処理する多数の製造基板のエッチングプロセスの間に形成するパターンの均一性メトリックを最大限にするために実行する。
追加の実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を、表面改質プロセスを受ける材料の物理的特性、幾何学的特性、電気的特性、化学的特性、又は機械的特性を変更するために実行する。さらなる実施形態では、特性を、エッチング幅限界寸法(CD)、たわみ、傾斜、ねじれ、エッチング選択性、側壁角度(SWA)、エッチング深さ、表面被覆率、段差被覆率、層厚さ、層密度、層組成、層平滑性、及び層硬度からなるグループから選択する。別のさらなる実施形態では、特性は、エッチング幅限界寸法(CD)、たわみ、傾斜、ねじれ、エッチング選択性、側壁角度(SWA)、エッチング深さ、表面被覆率、段差被覆率、層厚さ、層密度、層組成、層平滑性、及び層硬度のうち1つ以上を含む。
追加の実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を、後に処理する製造基板又は後に処理する多数の製造基板における層の物理的特性、幾何学的特性、電気的特性、又は機械的特性を変更するために実行する。さらなる実施形態では、表面改質プロセスの少なくとも1つのパラメータを変更する工程を原位置で実行する。
追加の実施形態では、表面改質ガスは、水素、臭化水素、窒素、炭素、塩素、フッ素、硫黄、アルゴン、ヘリウム、酸素、又はこれらのうち2つ以上の組み合わせを含む。さらなる実施形態では、基板に形成した層は、フォトレジスト、スピンオン誘電物質、原子蒸着誘電物質又は化学蒸着誘電物質、低k誘電物質、高k誘電物質、導電性物質、又はこれらのうち2つ以上の組み合わせからなるグループから選択した物質を含む。別のさらなる実施形態では、基板に形成した層は、フォトレジスト、スピンオン誘電物質、原子蒸着誘電物質又は化学蒸着誘電物質、低k誘電物質、高k誘電物質、又はこれらのうち2つ以上の組み合わせのうち1つ以上を含む物質を含む。
追加の実施形態では、基板に形成した層は化学増幅レジスト(CAR)を含み、方法は、CARのパターン崩壊の可能性を低減させるために、獲得した光放射スペクトルに基づいて表面改質プロセスの少なくとも1つのパラメータを変更する工程をも含む。さらなる実施形態では、CARは、ArFベースのドライレジスト又は浸漬レジストを含む。
追加の実施形態では、基板に形成した層は、極紫外(EUV)フォトリソグラフィと併せて利用したレジストを含む。さらなる実施形態では、EUVレジストは、金属製ハードマスク(MHM)層、ナノ結晶金属酸化物MHM層、及びこれらの層の組み合わせを含むMHM積層体を含むグループから選択した物質を含む。別のさらなる実施形態では、EUVレジストは、金属製ハードマスク(MHM)層、ナノ結晶金属酸化物MHM層、及びこれらの層の組み合わせを含むMHM積層体のうち1つ以上を含む物質を含む。
追加の実施形態では、表面改質プロセスは、電子ビーム露光表面改質プロセスを含む。さらなる実施形態では、電子ビーム露光表面改質プロセスは、プラズマ処理チャンバ内の電極に直流(DC)重畳電圧を印加することを含む。別のさらなる実施形態では、基板に形成した層はレジスト層を含み、電子ビーム露光表面改質プロセスはレジスト層のキュアリング又はハードニングを促進する。又さらなる実施形態では、層の体積が層に対して変更されるように、eビーム電圧を露光時間とともに増大させる。
追加の実施形態では、表面改質プロセスは、表面ハードニングステップ、基板に形成した層のキュアリング、又は残留物除去のためのカス除去ステップのうち少なくとも1つを含む。
一実施形態では、データ処理システムにプラズマ加工用具における表面改質プロセスを監視し制御する方法を実行させる格納された指示を有する固定機械アクセス可能な記憶媒体を開示し、方法は、プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、プラズマ処理チャンバでプラズマを発生させ基板に形成した層の表面改質プロセスを開始する工程と、層の表面改質プロセスの間に、プラズマ処理チャンバに取り付けられた発光分光システムから光放射スペクトルを獲得する工程とを含む。
追加の実施形態では、表面改質プロセスは、電子ビーム又は紫外線ベースの露光表面改質プロセスを含む。さらなる実施形態では、基板に形成した層は、レジスト、ライナ、ハードマスク、導電材料、分離材料又は間隙充填材料等のうち少なくとも1つを含むマイクロ電子デバイス層を含み、電子ビーム露光表面改質プロセスがレジスト層のキュアリング又はハードニングを促進する。
一実施形態では、プラズマ処理用具において表面改質プロセスを監視し制御する方法を開示し、方法は、プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、プラズマ処理チャンバでプラズマを発生させ基板に形成した層の表面改質プロセスを開始する工程と、表面改質プロセスの間に監視システムを用いてプラズマ処理チャンバのプラズマを監視する工程とを含む。この方法について、監視システムは、発光分光、レーザ誘起蛍光、レーザ干渉法、レーザ分光、質量分析、ラマン分光、残留ガス分析器 (RGA)、又はフーリエ変換赤外(FTIR)システムのうち少なくとも1つを含む。
追加の実施形態では、表面改質プロセスは、電子ビーム露光表面改質プロセスを含む。さらなる実施形態では、基板に形成した層はレジスト層を含み、電子ビーム露光表面改質プロセスはレジスト層のキュアリング又はハードニングを促進する。
本発明のより完全な理解及びその利益は、以下の説明を添付の図面と併せて参照することにより得られ得るものであり、同様の参照符号は同様の特徴を示す。しかし、添付の図面は、開示した概念の単に例示的な実施形態を表すものであり、このため、開示の概念について、範囲の限定を考慮するものではなく、他の同様の効果のある実施形態を認め得ることに留意が必要である。
基板に形成した層をプラズマにより表面改質するプロセスを監視し制御する方法を開示する。方法は、表面改質ガスをプラズマ処理システムのプラズマ処理チャンバに流入させる工程と、プラズマ処理チャンバでプラズマを発生させ、基板に形成した層の表面改質プロセスを開始する工程と、層の表面改質プロセスの間にプラズマ処理チャンバに取り付けられた発光分光システムから光放射スペクトルを獲得する工程とを含む。一実施形態では、方法は又、獲得した光放射スペクトルに基づいて表面改質プロセスの少なくとも1つのパラメータを変更することをも含む。一実施形態では、獲得した光放射スペクトルは、スペクトル線の強度、スペクトル線の勾配、又はその両方を含み得る。表面改質プロセスは、1つ以上の被改質層の高密度電子露光又は紫外線ベースの処理を含み得る。追加の方法及び関連するシステムも開示する。
以下にさらに説明するように、半導体ウエハにトレンチフィーチャをドライエッチングする前の発光分光(OES)を用いたフォトレジスト前処理の最適化を、全エッチングプロセスから得た主要なメトリックを改善するために実行した。主要なメトリックには、限定しないが、トレンチ深さ均一性(TDU)、線幅粗さ(LWR)、線縁粗さ(LER)、外形のたわみ、外形形状/側壁角度(SWA)、選択性、及びエッチング外形幅限界寸法(CD)が含まれる。OESは、ドライエッチング前処理ステップの間、原位置でレジスト相変態、表面改質、組成変化、及び表面ハードニング効果を監視し、1つ以上の元素及び/又は化合物に関連した特定の波長に対して理想的又は有益な特徴を決定するための判断材料として使用した。このようにして、OES監視及び終点制御が、エッチング/堆積プロセスについてのメトリックを最適化するために表面改質プロセス又は前処理プロセスに適用し得ることが見出された。さらに、レジストの表面平滑性及びエッチング耐性を実質的に改善する処理パラメータは非常に限られており、理想的なキュアリング条件を代替のレジストタイプ又は構造組成に転用できないことが多い。このため、レジストキュアリング条件及び究極には終点制御をOESベースで最適化する必要性が高まっている。定める終点は、改質プロセスによる特定の波長の勾配又は特異的勾配に関連し得る。結果として、処理プロセスのOES監視はリアルタイムフィードバックで学習プロセスを加速させ、理想的なキュアリング条件を整える。
以下により詳細に説明した一実施形態では、プロセス時間が5秒のみのレジスト表面改質/ハードニングステップを有する既存の20秒トレンチプロセスオブレコード(POR)処方に前処理ステップを追加し、これによりレジスト表面改質のないPORよりも線幅粗さ(LWR)が30%改善し、TDU均一性が52%改善したと同時に、他のすべてのエッチングパターンの項目を上回るか又は本質的に満たした。eビーム露光表面改質ステップの間に、レジスト表面改質効果がより早く完了したことを(より平滑な改質層が形成されたことを)示すOES信号応答があったため、eビーム又はDCS上部電極電圧及びレジスト表面改質時間の低減をプロセス処方で実行した。表面改質時間を追加すると、レジストが損失し、レジスト表面がより粗くなり、それぞれ、CDを広げ、LWRを高めることになった。レジスト損失及び粗さ及び/又はLWRの増大の程度が高い処方と、LWRが低減しCDの増大(レジスト損失)が低減した処方条件との間で評価されたすべての波長について、明らかに異なりかつ一貫したOES応答が見出され、これらのOES応答は、次に、マイクロ電子デバイスの適用に望ましい、エッチング外形のメトリックを改善するレジストの平滑化につながった。しかし、より高い電子ビームエネルギーを生み出すより高いeビーム電圧を印加すると、被改質材料内に電子を深く侵入させる可能性があることに言及しておく必要がある。このため、露光時間がKeVビームエネルギーについて長くなると、膜の全体積が変化し、単なる表面処理を超えてこの技術を拡大することになると思われる。
OES終点制御は又、結果として得られた理想的又は改善された側壁角度/外形、CD、LWR、及びTDUについてレジスト表面改質/ハードニング改質ステップを自動化するためにも実行可能である。これらの理想的又は改善されたメトリックは、明らかに異なるOES波長応答(CH強度レベル及び勾配)によって示されるN2/H2の高電圧eビームへの過剰露光によるレジスト分解を防ぎつつもなお、達成可能である。OESは、典型では、ハードマスク及びこれに続く下層を貫通する本来のエッチングステップのみに用いられる。対照的に、開示の実施形態は、フォトレジスト表面改質プロセス制御の最適化を加速させるため、及び/又はeビーム露光表面改質ステップを自動化させるために、OESを用いるものであり、特定のレジスト表面改質効果及び結果としてその後に得られる後続のより理想的なエッチングメトリックを得るためのものである。この概念は又、改質ステップの確定的な終点に基づき、プロセス処理能力及び最終的にはウエハ製造サイクル時間を改善するものでもある。さらにこれは、フォトレジストのカス除去など、いかなるタイプの事前エッチング処理又は事後エッチング処理又は堆積処理の表面改質ステップにも適用可能でもあり、又はハードマスクなどの代替材料、絶縁目的の誘電体、又は拡散バリア層の高密度化に適用可能でもある。
追加の実施形態では、OESに加えて他の技術が、独立して、及び/又は、原位置でのフーリエ変換赤外分光法(FTIR)、ラマン分光法(例えば、システム内で観察中の振動状態、回転状態、及び他の低周波数状態)、残留ガス分析器(RGA)分光測定法、及び/又は材料キュアリングステップ中に発生する副産物を相互参照するための他の分光測定技術を含めて相関させた方法で、適用可能である。キュアリングに続くエッチングステップのOES監視についても、特定のレジストエッチング副産物に相互に関連するレジストエッチング耐性又はレジストエッチング選択性に関する表面改質処理の有効性を測定するために、監視され得る。パターニング(例えば、マルチパターニング用のパターニングされたフォトレジストに形成されたALDスペーサ)用の原子層堆積(ALD)システム及び化学蒸着(CVD)システムを含む堆積システムなど、ドライエッチング以外の他のタイプのプラズマベースチャンバ内のウエハから発生した前処理ステップ副産物又は後処理ステップ副産物のOES監視についても、スペクトル特徴を、結果として得られる所望の事後プロセスメトリックと相互に関連させるために使用可能である。理想的又は所望のスペクトル特徴が一度特定されると、OESベースの終点についても適用される。
さらなる実施形態では、ここに説明したOES監視技術も、多くの追加の利点を提供し得る。例えば、ここに説明したOES監視は、レジストキュアリングプロセスの自動化されOES制御された前処理及び/又は基板上の他の下層材料の他の前処理プロセス又は後処理プロセスを提供するために使用可能である。キュアリング処理のOES監視は又、特に極紫外(EUV)フォトリソグラフィの場合、浸漬又はドライのArFベースのレジストなど、伝統的な化学増幅レジスト(CAR)のパターン倒れをなくすためにも使用可能である。
又さらなる実施形態では、開示の実施形態で提供したOES監視は、最先端のデバイスパターニングにおいて、より高いコストの有機金属EUVレジストの代わりに、より低いコストでより多くの従来のレジスト(例えば、ArFレジスト)の使用も可能にする。例えば、酸化スズ、酸化チタン、酸化ジルコニウム、及び/又は他の金属酸化物のレジストなどの金属酸化物レジストは、この技術によって改質可能である。又、ここに提供したOES監視は、EUV露光中のフォトリソグラフィパターニングにおける線縁粗さ(LER)に対する感光性及び解像度についてプロセスの幅を広げ得るものであり、これは、集積回路 (IC)スケーリングのムーアの法則を拡大適用するのに必要なこの重要なパターニング方法の製造可能性に影響を及ぼす典型的なトレードオフである。このOES監視は又、事後現像EUVレジスト粗さを低減し、下流のパターン粗さ及びLWR又は他の重要なエッチングメトリックを低減して、ウエハ生産量を改善するためにも、使用可能である。
又さらに、開示のOES監視技術は、基板に堆積させた金属製ハードマスク(MHM)層、基板にスピンコートしたナノ結晶金属酸化物MHM層、又はこれらの層の組み合わせを含むMHM堆積体、及び/又は他のMHM層及びMHM層の組み合わせに対して使用可能である。OESは、特にKeV範囲の電子ビームの場合、間隙充填プロセスの平坦度を改善するために、ナノ結晶MHMのリフローを監視するのに使用可能である。表面改質(例えば、レジストキュアリング又はレジストハードニング)ステップのeビーム又は紫外線の露光の間、OES監視の他の変形及び応用も、ここに説明した実施形態の利益を保ちつつ実行可能である。
エッチングプロセス及び関連するチャンバについて使用するOESシステム及びOES方法は、例えば、米国特許第5,980,767号明細書、米国特許第6,677,604号明細書、及び米国特許出願公開第2005/0173375号明細書に説明されており、それぞれ、その全体が参照によってここに含まれることに言及しておく。ここに説明されているようなこれらのOESシステム及びOES方法、及び/又は同様に実行されるものは、1つ以上のエッチングプロセス及び/又は堆積プロセスについての1つ以上の前処理プロセス又は後処理プロセスのOES監視に関連するここに説明した実施形態に対して使用可能である。
本発明による一例のOES監視の実施形態は、図面に関して以下により詳細に説明する。しかし、これらの実施形態は例として提供するものであり、結果として得たパターン構造に関連するエッチングメトリック及び/又は堆積メトリックを改善するために、エッチングプロセスステップ及び/又は堆積プロセスステップに関する前処理プロセス及び/又は追加の後処理プロセスのパラメータを設定するのにOES監視を適用するために、ここに説明した技術の利益を保ちつつ、異なる実施形態及び/又は追加の実施形態が実行可能であることに、言及しておく。eビームに対するUV光ベースの改質の場合、適用されるUV光源は、前処理プロセス又は後処理プロセスを正確に監視するために、スペクトル光検出器によって検出される重要なピークを妨げないか又は重複しない波長を出力するのが理想である。
図2Aは、パターン構造のメトリックを改善するために表面改質プロセス中にOES監視が用いられるエッチングプロセス及び/又は堆積チャンバを含む製造プロセスの一例の実施形態200のフローチャートである。従来の解決策と同様に、ブロック202において、マイクロ電子ワークピース用の基板にレジスト(例えば、フォトレジスト)層を形成し、基板は1つ以上の事前に形成した層及び/又は構造を含み得る。従来の解決策と対照的に、ブロック204において、レジスト層に適用する1つ以上の前処理表面改質プロセス又は後処理表面改質プロセスのパラメータを設定するためにOES監視を用いる。従来の解決策によるように、表面改質は、例えば、上部電極DC重畳(DCS)電圧の印加を利用する電子ビーム(eビーム)レジスト表面改質ステップ(例えば、レジストキュアリングプロセスステップ又はレジストハードニングプロセスステップ)を含み得る。ブロック206において、パターン構造を生み出すためにエッチングプロセス又は堆積プロセスを実行する。従来の解決策によるように、このエッチングプロセスは、OES終点制御を使用し得る。このエッチングプロセスは、パターン構造を形成するためにレジスト層を部分的に使用する。
図2Bは、マイクロ電子ワークピース用の基板252に図2Aのステップを用いて形成した線構造254を含むパターン構造の一例の実施形態250である。線構造254は、1つ以上の層を含み得る。線構造254間のばらつきは、ここに説明したように前処理プロセスにOES監視を適用することによって低減され、基板252に対して形成される結果的に得られるマイクロ電子デバイスも改善される。これらのばらつきの低減には、線構造254間のトレンチの底面256内のCDばらつきの低減、線構造254の幅258の線幅ばらつきの低減、及び線構造254の縁部260の線縁ばらつきの低減が含まれる。上に示したように、CDばらつき、線幅粗さ(LWR)、及び線縁粗さ(LER)は、エッチングプロセス及び/又は他の表面改質プロセスの成功を評価するのに用いられることの多いパラメータである。これらのパラメータは、ここに説明した実施形態によって改善され、トレンチ深さ均一性(TDU)、側壁角度/外形、及び/又はパターン構造用の他のメトリックなど、異なるパターンパラメータ及び/又は追加のパターンパラメータも改善され得る。
図2Cは、OESシステム280によって監視されているプラズマ処理装置300の一例の実施形態270のブロック図である。OESシステム280は、ここに説明した表面改質プロセスに対するOES監視を提供するために使用され得る。ここにさらに説明したように、OESシステム280は、表面改質プロセス中にプラズマ処理装置300のプロセスチャンバ内でスペクトルエネルギーを監視し、この監視は、プロセスパラメータ及び/又は制御プロセス工程(例えば、終点制御)を調整するのに用いられ得る。
図2Dは、基板に形成した層のための表面改質プロセスについてOES監視が用いられるプロセスの一例の実施形態210のブロック図である。ブロック212において、プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる。ブロック214において、プラズマ処理システム内でプラズマを発生させ、基板に形成した層の表面改質プロセスを開始する。ブロック216において、層の表面改質プロセスの間に、プラズマ処理チャンバに取り付けられた発光分光(OES)システムから光放射スペクトルを獲得する。ブロック218において、光放射スペクトルに基づいて定めた終点時間において、表面改質プロセスを停止する。ブロック220において、表面改質プロセスの少なくとも1つのパラメータを、獲得した光放射スペクトルに基づいて変更する。ブロック222において、次に、OESスペクトルデータに基づいてeビーム処方パラメータを変更する。ブロック224において、表面改質プロセス後のプラズマエッチングプロセス又は堆積プロセスの間に形成したパターンの物理的特性又は幾何学的特徴又は両方が目標値に達するまで、OESを獲得し、表面改質プロセスを停止し、表面改質プロセスの少なくとも1つのパラメータを変更することを、繰り返す。
図2Eは、電子ビーム処理を監視し、修正し、及び/又は制御するためにOES監視が用いられるプロセスの一例の実施形態230のブロック図である。ブロック232において、プロセス処方に1つ以上のeビーム処理ステップを追加する。ブロック234において、eビームステップのためにCDメトリック計測及びOESスペクトルデータを収集し、及び/又は評価する。ブロック236において、OESスペクトルデータに基づきeビーム処方パラメータを変更する。ブロック238において、修正したeビーム処方ステップのために、CDメトリック計測及びOESスペクトルデータを収集し、及び/又は評価する。ブロック240において、eビームステップにOES終点制御を適用する。ブロック242において、必要に応じ、フロー順序を修正し、及び/又は繰り返す。
図3は、ここに説明した実施形態に用い得るプラズマ処理装置などのワークピース製造システムの一例の実施形態300の図である。さらに特には、図3は、ここに説明した処理技術を実行するために用い得る例証目的のためのみのプラズマ処理装置の一例の実施形態を説明するものである。他のプラズマ処理システム及び他のエッチングプロセスシステムは、ここに説明した技術を同様に実行し得ることが理解されるものと思われる。図3の一例の実施形態300について、マイクロ電子ワークピースにエッチングチャンバをもたらす処理空間(PS)を含む容量結合プラズマ(CCP)処理装置についての概略断面図を提供している。例えば、限定しないが、誘導結合プラズマ処理装置、マイクロ波プラズマ処理装置等を含む代替のプラズマ処理装置も利用し得る。容量結合プラズマ処理装置は、このような装置の電極間隔がプラズマ空間の局所領域へのガスの有益な制御を可能にし、これにより基板に局所的なプラズマ処理を施すため、特によく適し得る。又、CCPチャンバは、電極バイアスを生み出し、基板上に露出した材料に高密度電子ビーム露光をもたらす性能を有する。しかし、紫外線キュアリング(UV)能力のある非CCPエッチングチャンバ又は堆積チャンバについても、ここに言及した同一の適用のためのOES終点制御の概念を活用可能である。
プラズマ処理装置300は、灰化、エッチング、堆積、洗浄、プラズマ重合、プラズマ化学蒸着(PECVD)、原子層堆積(ALD)等を含む多数の行程に使用可能である。プラズマ処理装置300の構造は周知であり、ここに提供した特定の構造は単に例示的なものである。プラズマ処理は処理チャンバ301内で実行し得るものであり、これは、アルミニウム又はステンレス鋼などの金属製の真空チャンバであり得る。処理チャンバ301は、プラズマ生成用の処理空間(PS)を提供する処理容器を形作っている。処理容器の内壁は、アルミナ、イットリア、又は別の保護剤によってコーティングされ得る。処理容器は円筒形状をしているか、又は他の幾何学的形状を有し得る。
処理チャンバ301内の下側の中心領域において、サセプタ312(円盤形状であり得る)が、例えば、被処理基板302(半導体ウエハなど)を搭載可能な搭載テーブルとして機能し得る。基板302は、積み/降ろしポート及びゲート弁を介して処理チャンバ301の中に移動可能である。サセプタ312は、その上の搭載基板302の搭載テーブルとして機能する第2の電極の一例として下部電極アセンブリ320の一部を形成する。サセプタ312は、例えば、アルミニウム合金で形成され得る。サセプタ312は、基板302を保持するための静電チャック(下部電極アセンブリの一部として)をその上に備えている。静電チャックは、電極335を備えている。電極335は、不図示の直流(DC)電源に電気的に接続されている。静電チャックは、DC電源からのDC電圧が電極335に印加された際に発生する静電気力によって基板302を引き付ける。サセプタ312は、整合器を介して高周波電源と電気的に接続され得る。他の実施形態及び処理チャンバについては、2つ以上の電源が使用可能であり、処理チャンバ内の電極335及び/又は他の電極に接続可能である。この高周波電源(第2の電源)は、例えば、2MHzから20MHzまでの範囲で高周波電圧を出力可能である。高周波バイアス電力を印加すると、処理チャンバ301内に発生したプラズマ中のイオンが基板302に引き付けられる。フォーカスリングアセンブリ338はサセプタ312の上面に備え付けられ、静電チャックを取り囲んでいる。
ガス排出装置に接続する1つ以上の排出口(不図示)を介して排出路333が形成され得る。ガス排出装置は、処理チャンバ301内のプラズマ処理空間を排気して所望の真空状態とするように構成されたターボ分子ポンプなどの真空ポンプを含み得る。ガス排出装置は、処理チャンバ301の内側を排気することにより、その内圧を所望の真空度に減圧する。
上部電極アセンブリ370は第1の電極の一例であり、下部電極アセンブリ320の鉛直上方に位置し、下部電極アセンブリ320と並行して向き合っている。プラズマ発生空間又はプラズマ処理空間(PS)は、下部電極アセンブリ320と上部電極アセンブリ370との間に定められている。上部電極アセンブリ370は、円盤形状を有する内側上部電極371と、環状であり、内側上部電極371の周囲を取り囲み得る外側上部電極とを含む。内側上部電極371は又、下部電極アセンブリ320に搭載された基板302上方の処理空間(PS)に特定量の処理ガスを注入するための処理ガス注入口として機能する。上部電極アセンブリ370はこれにより、シャワーヘッドを形成している。より具体的には、内側上部電極371は、ガス注入開口部382を含む。
上部電極アセンブリ370は、1つ以上のバッファチャンバ389A、389B、及び389Cを含み得る。バッファチャンバは、処理ガスを拡散させるために用いられ、円盤形状の空間を定め得る。処理ガス供給システム380からの処理ガスは、上部電極アセンブリ370にガスを供給する。処理ガス供給システム380は、成膜、エッチング等の特定の処理を基板302に行うために処理ガスを供給するように構成され得る。処理ガス供給システム380は、処理ガス供給経路を形成するガス供給ライン381A、381B、及び381Cに接続されている。ガス供給ラインは、内側上部電極371のバッファチャンバに接続されている。処理ガスは、これにより、バッファチャンバからガス注入開口部382の下面まで移動し得る。バッファチャンバ389A〜Cの中に導入される処理ガスの流量は、例えば質量流量コントローラを用いることにより調整し得る。さらに、導入された処理ガスは、電極板(シャワーヘッド電極)のガス注入開口部382から処理空間(PS)に排出される。内側上部電極371は、シャワーヘッド電極アセンブリを提供するために部分的に機能する。
図3に示すように、3つのバッファチャンバ389A、389B、389Cは、縁部バッファチャンバ389A、中間バッファチャンバ389B、及び中央バッファチャンバ389Cに対応して設けられている。同様に、ガス供給ライン381A、381B、及び381Cは、縁部ガス供給ライン381A、中間ガス供給ライン381B、及び中央ガス供給ライン381Cとして構成され得る。バッファチャンバは、基板の異なる局所的領域、この場合では縁部、中間、及び中央に対応する形で設けられている。以下にさらに考察するように、これらの領域は、基板302の局所的領域に対する特定のプロセスのプラズマ処理条件に対応し得る。3つの局所的領域を使用するのは、単に例示的なものであることが理解されるものと思われる。このため、プラズマ処理装置は、基板のいかなる数の領域にもプラズマ処理条件を局所的に設けるように構成され得る。いかなる種類の構成も利用可能であり、ここに説明した技術は、処理ガス供給システム380がガスの流れを種々のバッファチャンバに分割するように構成されるような方法に限定されないことを再度さらに言及しておく。
上部電極アセンブリ370は、給電線365及び整合器366を介して高周波電源(不図示)(第1の高周波電源)に電気的に接続されている。高周波電源は、40MHz(メガヘルツ)又はより高い(例えば、60MHz)周波数を有する高周波電圧を出力するか、又は30〜300MHzの周波数を有する非常に高い周波数(VHF)の電圧を出力し得る。この電源は、バイアス電源と比較して、主電源としてみなし得る。ある実施形態については、上部電極用の電源はなく、2つの電源が底部電極に接続されることに言及しておく。他の変形例も実行し得る。
プラズマ処理装置の構成要素は、今度は対応するメモリ記憶装置及びユーザインターフェース(すべて不図示)に接続され得る制御装置に接続され、制御され得る。種々のプラズマ処理工程がユーザインターフェースを介して実行され、種々のプラズマ処理処方及び工程は記憶装置に格納され得る。このようにして、プラズマ処理チャンバ内で所定の基板が種々の微細加工技術によって処理され得る。運転中、プラズマ処理装置は上部電極及び下部電極を用いて処理空間(PS)にプラズマを発生させる。この発生したプラズマはその後、プラズマエッチング、化学蒸着などの様々な種類の処理、半導体材料、ガラス材、及び薄膜太陽電池、他の光電池、及びフラットパネルディスプレイ用の有機/無機プレート等の大型パネルの処理等において、ターゲット基板(基板302又はいかなる被処理材料なども)を処理するために用いられ得る。
ここで図4A〜4B、5A〜5B、6A〜6B、7〜8、9A〜9B、及び10〜11を見ながら、表面改質プロセスのOES監視を用いて、後続のエッチングプロセス及び/又は堆積プロセスを用いて形成されるパターン構造のためのメトリックを改善する一例の実施形態を提供する。これらの実施形態について図示し説明したプロセス処方及びパターン構造は単に例であり、開示のOES監視技術は、他の前処理処方ステップ又は後処理処方ステップ及びパターン構造に対して使用され得ることに言及しておく。追加のプロセス及び/又は異なるプロセス及びフィーチャも、ここに説明した技術の利益を保ちつつ実行可能であることにさらに言及しておく。
図4A〜4Bは、PORに基づくバックエンドオブライン(BEOL)トレンチプロセスの修正例の一例の処方の実施形態を提供するものである。第1の処方を除くすべての処方は、レジストeビームキュアリング表面改質又は前処理ステップを用いる。ここに説明したように、マイクロ電子ワークピース用の基板の表面に形成したレジスト層のキュアリング又はハードニングを促進するために、eビーム露光が用いられる。
初めに図4Aを見ると、A−1として示される処方402は、レジストのeビームキュアリング改質ステップを含まない。処方A−2として示される処方404は、20秒間のレジスト高電圧eビームキュアリング改質を含む。このキュアリング時間は、処方A−4として示される処方406については10秒縮小され、フォトレジスト損失が低減し、CD拡大が低減し、結果として得られるLWRが低減する結果になった。
図4Bを見ると、処方A−5及びA−6として示される追加の処方408及び処方410はそれぞれ、その後、処方A−4を基準としたフォローアップのレジストキュアリング処方として実行したものである。処方A−5として示される処方408については、レジストキュアリング時間を5秒に縮小した。処方A−6として示される処方410についても、レジストキュアリング時間を5秒に縮小し、DCS電圧についても低減することにより、レジスト損失がさらに低減し、結果として得られるLWRが低減する結果となった。又、中央電極及び縁部電極(C/E)の温度は、作業指針限界値のセ氏10度を上回る高い温度安定性のために、セ氏2度(C)高く設定した。
図5Aは、図4A〜4Bに示した処方のレジストキュアリングステップ中に431nmの波長で検出した炭素−水素(CH)分子化合物について測定したOESスペクトル強度レベルの一例の実施形態500のグラフである。キュアリングステップの行程にわたる5つの処方(A−1、A−2、A−4、A−5、A−6)のCH強度を示している。レジストタイプにより、eビーム露光エネルギー又はプラズマ力が高すぎると、実際にはレジスト面が消耗するか又は収縮し、粗面化し、事後エッチングのパターン構造のCDがより拡大し得ることが、他の試験で見出された。処方A−2に関して、この処方に印加した900ボルト(V)の上部電極電圧は、レジスト表面相変態に関係して、初めは2秒地点前に最高最大ピーク強度が生じ、2秒地点後に最高のCH勾配増大が生じた。処方A−1は、比較用の基準としてeビーム処理ステップに0Vの上部電極電圧を用いており、反応性ガスと組み合わせた高密度電子露光がないためにレジスト表面が本質的には変化しなかったため、CH強度において初めの急上昇を示していない。処方A−6について、700Vにおいて横ばい状態であるCH強度は、0Vの基準処方A−1に似てレジスト消耗又は表面劣化がなく、レジストの平滑化、より低いLWR、及びより小さいCD/より少ないレジスト損失につながる、好ましいCH架橋結合によるものであることがわかった。このフォトレジストは、一般に多くがそうであるように、主にCH分子で構成されているため、OESで監視するCH強度は最も重要な波長であることがわかった。このeビーム処理は、レジストの表面においてCH架橋結合を改質し、その後のドライエッチング耐性を改善し、ウエハ中の輪郭形状を含むエッチングメトリックを向上させることにつながった。
図5Bは、底部エッチング外形CD、線幅粗さ(LWR)、側壁角度(SWA)、及びトレンチ深さ均一性(TDU)などの結果として得られたエッチングメトリックと比較した図5Aに関連する特定のレジストキュアリングステップ条件の一部中の、正規化したCH・OESピークスペクトル強度の勾配の一例の実施形態の図である。グラフについての最適な式及び勾配を、対応する表に提示してある。レジスト損失の増大に関連するOESスペクトルCH強度の正の勾配度又は増大度が高まると、事後エッチングの底部CDが拡大し、SWAが低くなり(最上部の外形が丸みを帯び)、改質されたレジスト表面層がより粗くなり、これによりLWRが高くなり、トレンチ深さの不均一性が高まることがわかった(5〜10秒のキュアリング期間に)。
図6A〜6Bは、SWA及び底部CDに対するレジストキュアリングステップの間の正規化CH・OESスペクトル強度の勾配のグラフを提供するものである。図6Aは、SWAに対する勾配の一例の実施形態600のグラフを示す。図6Bは、底部CDに対する勾配の一例の実施形態650のグラフを提供する。レジストキュアリング中のOES信号強度におけるCH勾配の増大が弱まると、エッチング耐性すなわちエッチング選択性を増大させるキュアリングステップにより、次のレジストCD幅事前ハードマスクエッチングのレジスト消耗及びレジスト残留が少なくなり、レジスト外形の円みの低減に関係するより高いSWAにつながることがわかった。
図7は、図4A〜4Bに示したレジストキュアリングステップ条件中の、440nmの波長において、チャンバ内でレジストキュアリング処理副産物として検出されたフッ化ケイ素(SiF)分子結合化合物について測定したOESスペクトル強度レベルの一例の実施形態のグラフである。5つの処方(A−1、A−2、A−4、A−5、A−6)についてのSiFスペクトル強度を示している。再度、CH強度のグラフについて、比較用の基準として用いた、前処理ステップに0V上部電極電圧を用いた処方A−1は、反応性ガスと組み合わせた高密度電子露光がないためにレジスト表面が本質的に変化しなかったことから、SiF強度における初めの急上昇を示さなかった。処方A−2及び処方A−4は、レジスト損失のために、LWRがより高くなり、CDがより拡張することが見出された。これらの処方のキュアリングステップの間、OESは、初めの2秒間に比較的高いSiF最大ピーク強度を、2秒後に強度の正勾配を検出した。0〜2秒の初めの急上昇の後、処方A−5及び処方A−6の比較的横ばいである信号強度が、LWRをより低く、CD拡張をより少なく、レジスト損失をより少なく、エッチング外形をより直線にすることも見出された。
図8は、ボトムエッチング外形CD、LWR、SWA、及びTDUなどの結果として得られたエッチングメトリックと比較した図7に関連する特定のレジストキュアリングステップ条件の一部中の正規化したSiF・OESピークスペクトル強度の勾配の一例の実施形態800の図である。最適な式及び勾配を、グラフに関連させた表にリストアップしている。OESスペクトルSiF強度のより高い正勾配すなわち増大が、レジスト損失の増大に関連しており、事後エッチングの底部CDをより拡大させ、SWAをより低くし、改質レジスト表面層をより粗くし、これによりLWRがより高くなり、トレンチ深さ不均一性をより高くすることが見出された(5〜10秒のキュアリング期間に)。さらに、SiFは、偶然にも、次にある層をドライエッチングするためのOES終点制御で用いられる波長と共通してもいる。一般に、様々なエッチングメトリックとのSiF勾配の相関関係は完全な相関関係にはないと思われるが、OESにおける多数の波長がエッチング終点時間を定めるのに利用されるのが典型であり、SiFは、多様なドライエッチングプロセス中の共通の副産物であることから用いられることが多い。
図9A〜9Dは、結果として得られたエッチングメトリックに対する様々なレジストキュアリングステップ条件中の正規化したSiFスペクトル強度の勾配の図を提供するものである。図9Aは、底部CDに対する勾配の一例の実施形態900のグラフである。図9Bは、LWRに対する勾配の一例の実施形態920のグラフである。図9Cは、側壁角度に対する勾配の一例の実施形態940のグラフを示す。図9Dは、トレンチ深さ均一性に対する勾配の一例の実施形態960のグラフを示す。レジストキュアリング中のSiF強度の増大がより弱まると、次のレジストCD事前エッチングのレジスト消耗及びレジスト残留がより少なくなり、レジスト外形の円みがより少なくなるとともにSWAがより高くなり、TDUがより良くなり、LWRがより低くなるとともにレジスト表面がより滑らかになることがわかった。検討したすべてのエッチングメトリックのうち、底部CDは、このレジストタイプの一連のキュアリング条件についてのCH及びSiF両方のOES強度の勾配に最も強く相関する要素であった。このように、本技術は、レジストから発生する消耗及び/又は縮小に関係する重要な副産物を監視することに非常に感度が良い。
CH強度及びSiF強度に加え、フッ素(F)、酸素(O)、炭素−酸素(CO)、及び炭素−窒素(CN)分子化合物についてのOESスペクトル強度も調査した。上に示したように、CH及びSiFは、それぞれ、431nm及び440nmにおいてOESスペクトルピークを有する。フッ素は、751nmにおいてOESスペクトルピークを有する。酸素は、777nmにおいてOESピークを有する。COは、662nmにおいてOESピークを有する。CN分子は、387nmにおいてOESピークを有する。他の元素及び化合物も、OESスペクトル検出を用いて監視可能である。例えば、一実施形態について、スペクトル線に関連するOESスペクトル又はOESデータは、Zr又はTiなどのナノ結晶ハードマスク又はレジストに関連する金属のほか、N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、及びFからなるグループから選択した化学元素又は化合物に対応するスペクトル線を含む。
図10は、レジストキュアリング条件に対するOES最大ピーク強度の概要を含む一例の表1000を提供するものである。上述したように、処方A−1、A−2、A−4、A−5、及びA−6のeビームキュアリング条件のパラメータを、図4A〜4Bに関してリストアップしている。処方A−6は、すべてのエッチングメトリックについて最適で総合的なレジストキュアリング条件をもたらし、DCS電圧が印加されたレジストキュアリングステップの初期段階におけるSiF及びCHのOES最大ピーク強度に最も強い相関関係を有することが見出された。
図11は、図4A〜4Bに関して説明した処方に対応するレジストキュアリングパラメータ及び関連するエッチングメトリックの概要を含む一例の表1100を提供するものである。粗さがより高まりかつ事後エッチングCDがより広いレジスト消耗を示す処方に対し、より理想的なエッチングメトリックを用いた処方のレジストキュアリングステップにおいて異なるOES信号応答が明らかに得られたことがわかった。処理ステップのOES監視は、レジストから発生する副産物に関連する傾向を含むリアルタイムのeビームキュアリングに関連する診断を明らかにした。キュアリングステップ中の理想的なOES特徴を特定することにより、その後の散乱測定をベースとした測定、CD−SEM、及び断面SEM(X−SEM)によって測定される洗浄後検査(ACI)目標項目が満たされ、及び/又は結果として行うドライエッチングに向けてさらに改善可能できると思われる。又、OES終点の実行により、表面改質性能のためのマルチチャンバマッチングが可能となり、生産ラインの一貫性及びサイクルタイムが改善する。
本明細書中、「一実施形態」又は「ある実施形態」の言及は、その実施形態に関して説明した特定のフィーチャ、構造、材料、又は特徴が、本発明の少なくとも1つの実施形態の中に含まれることを意味するが、これらがすべての実施形態において存在することを示すものではないことにさらに言及しておく。このため、本明細書の様々な箇所における「一実施形態において」又は「ある実施形態において」という語句の出現は、必ずしも、本発明の同一の実施形態について言及するものではない。さらに、特定のフィーチャ、構造、材料、又は特徴は、1つ以上の実施形態においていかなる適した方法でも組み合わせ得る。様々な追加の層及び/又は構造が含まれ得るものであり、及び/又は説明したフィーチャは他の実施形態において省略され得るものである。
ここで使用したような「マイクロ電子ワークピース」は、本発明に従って処理される対象物に総称的に言及するものである。マイクロ電子ワークピースは、デバイス、特に 半導体デバイス又は他の電子デバイスのいかなる重要な部分又は構造をも含み得るものであり、例えば、半導体基板又はベース基板構造の上の又はこれを覆う薄膜などの層など、ベース基板構造であり得る。このため、ワークピースは、いかなる特定の基本構造、下層又は上層、パターン化されたもの又はパターン化されていないものに限定されることを意図したものではなく、むしろ、いかなるこのような層又は基本構造、及び層及び/又は基本構造のいかなる組み合わせも含むことを考慮したものである。以下の説明は、基板の様々なタイプにも言及し得るが、これは単に例示を目的としたものであり、限定を目的としたものではない。
ここで用いた用語「基板」は、その上に材料が形成される基材又は構造物を意味し、含むものである。基板は、単一の材料、複数の異なる材料の層、異なる材料又は異なる構造の領域を中に有する層又は複数の層等を含み得ることが理解されるものと思われる。これらの材料は、半導体、絶縁体、導体、又はこれらの組み合わせを含み得る。例えば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、又は1つ以上の層、構造又は領域をその上に形成した半導体基板であり得る。基板は、従来のシリコン基板又は半導体材の層を含む他のバルク基板であり得る。ここで使用したような、用語「バルク基板」は、シリコンウエハのみでなく、シリコンオンサファイア(「SOS」)基板及びシリコンオングラス(「SOG」)基板などのシリコンオンインシュレータ (「SOI」)基板、ベース半導体基盤上のシリコンエピタキシャル層、及びシリコンゲルマニウム、ゲルマニウム、炭化シリコン、ダイヤモンド、ヒ化ガリウム、窒化ガリウム、及びリン化インジウムなどの他の半導体材料又は光電子材料をも意味し、含むものである。基板は、ドープされているものも、ドープされていないものもあり得る。
マイクロ電子ワークピースを処理するシステム及び方法は、様々な実施形態において説明されている。当業者は、様々な実施形態が、具体的詳細のうち1つ以上のものがなくとも、あるいは他の代替方法及び/又は追加の方法、材料、又は構成要素をもって、実施され得ることを理解するものと思われる。他の例証では、本発明の様々な実施形態の不明確な態様を避けるため、周知の構造、材料、又は工程は詳細には示しておらず説明してもいない。同様に、説明の目的のため、特定の番号、材料、及び構成は、本発明の完全な理解を提供するために示したものである。それでも、本発明は具体的詳細なく実施され得るものである。さらに、図示した様々な実施形態は例示的に描写したものであり、必ずしも一定の縮尺で描いたものではない。
さらに、説明したシステム及び方法の変形例及び代替の実施形態は、この説明により当業者には明らかであると思われる。このため、説明したシステム及び方法は、これらの例のアレンジに限定されないことは理解されると思われる。ここに示し説明したシステム及び方法の形は、一例の実施形態として受け止められると理解されるものである。様々な変更が実行においてなされ得る。このように、本発明は特定の実施形態に関してここに説明したが、様々な変形及び変更が本発明の範囲から逸脱することなく可能である。したがって、明細書及び図面は、限定の意味よりもむしろ例示的な意味であるとみなされるものであり、このような変形は、本発明の範囲に含められることを意図するものである。さらに、特定の実施形態に関してここに説明したいかなる便益、利益、又は問題解決策も、一部の又はすべての請求項の、重要であり、必要であり、又は必須である特徴又は要素として解釈されることを意図するものではない。
Claims (38)
- 基板に形成した層をプラズマにより表面改質するプロセスを監視し制御する方法であって、前記方法は、
プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、
前記プラズマ処理チャンバでプラズマを発生させ、基板に形成した層の表面改質プロセスを開始する工程と、
前記層の前記表面改質プロセスの間に、前記プラズマ処理チャンバに取り付けられた発光分光システムから光放射スペクトルを獲得する工程を含む方法。 - 前記プラズマ処理システムがプラズマエッチングシステムであり、前記表面改質プロセスを1つ以上のエッチングプロセスの前か又は後に行う請求項1に記載の方法。
- 前記プラズマ処理システムが堆積機能及び/又はエッチング機能のあるプラズマシステムであり、前記改質プロセスを1つ以上のエッチングプロセス又は堆積プロセスの前か又は後に行う請求項1に記載の方法。
- 前記獲得した光放射スペクトルからのデータを、前記表面改質プロセスの終点を定めるために用いる請求項1に記載の方法。
- 前記光放射スペクトルに基づいて定めた終点時間で前記表面改質プロセスを停止する工程をさらに含む請求項4に記載の方法。
- 獲得した光放射スペクトルからのデータがスペクトル線強度、スペクトル線勾配、又は両方を含む請求項1に記載の方法。
- 前記スペクトル線が、N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及びFからなるグループから選択した化学元素又は化合物に相当するスペクトル線である請求項6に記載の方法。
- 前記スペクトル線が、N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及びFのうち1つ以上を含む化学元素又は化合物に相当するスペクトル線である請求項6に記載の方法。
- 前記獲得した光放射スペクトルに基づいて前記表面改質プロセスの少なくとも1つのパラメータを変更する工程をさらに含む請求項1に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを、プラズマ処理チャンバに供給されたRF又はマイクロ波電力、RF又はマイクロ波電力パルス周波数、RF又はマイクロ波パルスデューティーサイクル、基板温度、前記プラズマ処理チャンバ内の基板ホルダに供給されたRF電力、前記基板ホルダのDCバイアス、前記基板ホルダの近くに配された少なくとも1つの電極に供給されたDCバイアス電圧、ガス流量、ガス圧、表面改質ガス流、表面改質ガス圧、及び前記表面改質プロセスの継続時間からなるグループから選択する請求項9に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータが、プラズマ処理チャンバに供給されるRF又はマイクロ波電力、RF又はマイクロ波電力パルス周波数、RF又はマイクロ波パルスデューティーサイクル、基板温度、前記プラズマ処理チャンバ内の基板ホルダに供給されるRF電力、前記基板ホルダのDCバイアス、前記基板ホルダの近くに配された少なくとも1つの電極に供給されるDCバイアス電圧、ガス流量、ガス圧、表面改質ガス流、表面改質ガス圧、及び前記表面改質プロセスの継続時間のうち1つ以上を含む請求項9に記載の方法。
- 前記表面改質プロセスの少なくとも1つのパラメータを変更する前記工程を、前記表面改質プロセスの継続時間を最小限に抑えるために実行する請求項9に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを変更する前記工程を、前記表面改質プロセスに続くプラズマエッチングプロセス又は堆積プロセスの間に形成するパターンの物理的特性又は幾何学的特徴又は両方を改善するために実行する請求項9に記載の方法。
- 前記物理的特性が濃度又はウェットエッチング耐性のうち少なくとも1つを含み、前記幾何学的特徴が線幅粗さ(LWR)又は線縁粗さ(LER)のうち少なくとも1つを含む請求項13に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを変更する前記工程を、前記表面改質プロセスに続くエッチングプロセス又は堆積プロセスの間に形成するパターンの均一性メトリックを最大限にするために実行する請求項9に記載の方法。
- 前記均一性メトリックを、限界寸法(CD)均一性、側壁角度(SWA)均一性、及びトレンチ深さ均一性(TDU)からなるグループから選択する請求項15に記載の方法。
- 前記均一性メトリックは、限界寸法(CD)均一性、側壁角度(SWA) 均一性、及びトレンチ深さ均一性(TDU)のうち1つ以上を含む請求項15に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを変更する前記工程を、後に処理する製造基板又は後に処理する多数の製造基板のエッチングプロセスの間に形成するパターンの均一性メトリックを最大限にするために実行する請求項9に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを変更する前記工程を、表面改質プロセスを受ける材料の物理的特性、幾何学的特性、電気的特性、化学的特性、又は機械的特性を変更するために実行する請求項9に記載の方法。
- 前記特性を、エッチング幅限界寸法(CD)、たわみ、傾斜、ねじれ、エッチング選択性、側壁角度(SWA)、エッチング深さ、表面被覆率、段差被覆率、層厚さ、層密度、層組成、層平滑性、及び層硬度からなるグループから選択する請求項19に記載の方法。
- 前記特性が、エッチング幅限界寸法(CD)、たわみ、傾斜、ねじれ、エッチング選択性、側壁角度(SWA)、エッチング深さ、表面被覆率、段差被覆率、層厚さ、層密度、層組成、層平滑性、及び層硬度のうち1つ以上を含む請求項19に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを変更する前記工程を、後に処理する製造基板又は後に処理する多数の製造基板における層の物理的特性、幾何学的特性、電気的特性、又は機械的特性を変更するために実行する請求項9に記載の方法。
- 前記表面改質プロセスの前記少なくとも1つのパラメータを変更する前記工程を原位置で実行する請求項9に記載の方法。
- 前記表面改質ガスが、水素、臭化水素、窒素、炭素、塩素、フッ素、硫黄、アルゴン、ヘリウム、酸素、又はこれらのうち2つ以上の組み合わせを含む請求項1に記載の方法。
- 前記基板に形成した前記層が、フォトレジスト、スピンオン誘電物質、原子蒸着誘電物質又は化学蒸着誘電物質、低k誘電物質、高k誘電物質、導電性物質、又はこれらのうち2つ以上の組み合わせからなるグループから選択した物質を含む請求項1に記載の方法。
- 前記基板に形成した前記層が、フォトレジスト、スピンオン誘電物質、原子蒸着誘電物質又は化学蒸着誘電物質、低k誘電物質、高k誘電物質、導電性物質、又はこれらのうち2つ以上の組み合わせのうち1つ以上を含む物質を含む請求項1に記載の方法。
- 前記基板に形成した前記層が化学増幅レジスト(CAR)を含み、前記CARのパターン崩壊の可能性を低減させるために前記獲得した光放射スペクトルに基づいて前記表面改質プロセスの少なくとも1つのパラメータを変更する工程をさらに含む請求項1に記載の方法。
- 前記CARが、ArFベースのドライレジスト又は浸漬レジストを含む請求項27に記載の方法。
- 前記基板に形成した前記層が、極紫外(EUV)フォトリソグラフィと併せて利用したレジストを含む請求項1に記載の方法。
- 前記EUVレジストが、金属製ハードマスク(MHM)層、ナノ結晶金属酸化物MHM層、及びこれらの層の組み合わせを含むMHM積層体からなるグループから選択した物質を含む請求項29に記載の方法。
- 前記EUVレジストが、金属製ハードマスク(MHM)層、ナノ結晶金属酸化物MHM層、及びこれらの層の組み合わせを含むMHM積層体のうち1つ以上を含む物質を含む請求項29に記載の方法。
- 前記表面改質プロセスが、電子ビーム又は紫外線ベースの露光表面改質プロセスを含む請求項1に記載の方法。
- 前記電子ビーム露光表面改質プロセスが、前記プラズマ処理チャンバ内の電極に直流(DC)重畳電圧を印加することを含む請求項32に記載の方法。
- 前記基板に形成した前記層がレジスト層を含み、前記電子ビーム露光表面改質プロセスが前記レジスト層のキュアリング又はハードニングを促進する請求項32に記載の方法。
- 前記eビーム電圧又はエネルギー及び露光時間を、前記層の体積が前記層に対して変更されるように増大させる請求項32に記載の方法。
- 前記表面改質プロセスが、表面ハードニングステップ、前記基板に形成した前記層のキュアリング、又は残留物除去のためのカス除去ステップのうち少なくとも1つを含む請求項1に記載の方法。
- プラズマ処理用具において表面改質プロセスを監視し制御する方法であって、前記方法は、
プラズマ処理システムのプラズマ処理チャンバに表面改質ガスを流入させる工程と、
前記プラズマ処理チャンバでプラズマを発生させ基板に形成した層の表面改質プロセスを開始する工程と、
前記表面改質プロセスの間に監視システムを用いて前記プラズマ処理チャンバの前記プラズマを監視する工程を含み、
前記監視システムが、発光分光、レーザ誘起蛍光、レーザ干渉法、レーザ分光、質量分析、ラマン分光、残留ガス分析器 (RGA)、又はフーリエ変換赤外(FTIR)システムのうち少なくとも1つを含む方法。 - 前記表面改質プロセスが、電子ビーム又は紫外線ベースの露光表面改質プロセスを含む請求項37に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762473193P | 2017-03-17 | 2017-03-17 | |
US62/473,193 | 2017-03-17 | ||
PCT/US2018/022253 WO2018170010A1 (en) | 2017-03-17 | 2018-03-13 | Surface modification control for etch metric enhancement |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2020515063A true JP2020515063A (ja) | 2020-05-21 |
Family
ID=63519524
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019550724A Pending JP2020515063A (ja) | 2017-03-17 | 2018-03-13 | エッチングメトリックを向上させるための表面改質制御 |
Country Status (7)
Country | Link |
---|---|
US (1) | US10446453B2 (ja) |
JP (1) | JP2020515063A (ja) |
KR (1) | KR20190121864A (ja) |
CN (1) | CN110431655A (ja) |
SG (1) | SG11201908533PA (ja) |
TW (1) | TWI766964B (ja) |
WO (1) | WO2018170010A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI812185B (zh) * | 2021-04-27 | 2023-08-11 | 日商愛發科股份有限公司 | 蝕刻方法 |
Families Citing this family (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10886136B2 (en) * | 2019-01-31 | 2021-01-05 | Tokyo Electron Limited | Method for processing substrates |
US20220037135A1 (en) * | 2019-02-13 | 2022-02-03 | Lam Research Corporation | Anomalous plasma event detection and mitigation in semiconductor processing |
TW202429066A (zh) * | 2019-03-25 | 2024-07-16 | 日商亞多納富有限公司 | 製程系統 |
JP2021174902A (ja) * | 2020-04-27 | 2021-11-01 | 東京エレクトロン株式会社 | 処理方法及び基板処理装置 |
CN111474822B (zh) * | 2020-05-19 | 2021-09-17 | 中国科学院光电技术研究所 | 一种基于三维光刻胶掩膜快速修正光学基底均匀性的方法 |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
KR20220030439A (ko) * | 2020-08-31 | 2022-03-11 | 삼성전자주식회사 | 반도체 장치 제조 공정의 모니터링 방법 및 이를 포함하는 반도체 장치의 제조 방법 |
US12051575B2 (en) * | 2020-09-30 | 2024-07-30 | Hitachi High-Tech Corporation | Plasma processing apparatus and plasma processing method |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
JP7489905B2 (ja) * | 2020-11-30 | 2024-05-24 | 東京エレクトロン株式会社 | チャンバーコンディションの診断方法及び基板処理装置 |
KR102574604B1 (ko) | 2020-12-16 | 2023-09-06 | 주식회사 이엘 | 반도체/디스플레이 플라즈마 화학증착공정 모니터링 전용 실시간 온도편차 보정 발광분광분석시스템 |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
CN113643999A (zh) * | 2021-08-06 | 2021-11-12 | 苏州博研微纳科技有限公司 | 一种等离子体刻蚀终点检测方法 |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
CN114093785B (zh) * | 2022-01-10 | 2022-04-22 | 广州粤芯半导体技术有限公司 | 用于监控微刻蚀风险的衬底结构及监控方法 |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100081285A1 (en) * | 2008-09-30 | 2010-04-01 | Tokyo Electron Limited | Apparatus and Method for Improving Photoresist Properties |
JP2014532988A (ja) * | 2011-10-27 | 2014-12-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低k及びその他の誘電体膜をエッチングするための処理チャンバ |
Family Cites Families (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
IT649689A (ja) | 1960-07-05 | |||
US3612692A (en) | 1968-11-21 | 1971-10-12 | Ibm | Dielectric film thickness monitoring and control system and method |
US4147435A (en) | 1977-06-30 | 1979-04-03 | International Business Machines Corporation | Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces |
US5014217A (en) | 1989-02-09 | 1991-05-07 | S C Technology, Inc. | Apparatus and method for automatically identifying chemical species within a plasma reactor environment |
US5353790A (en) | 1992-01-17 | 1994-10-11 | Board Of Regents, The University Of Texas System | Method and apparatus for optical measurement of bilirubin in tissue |
US5347460A (en) | 1992-08-25 | 1994-09-13 | International Business Machines Corporation | Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication |
US5308414A (en) | 1992-12-23 | 1994-05-03 | International Business Machines Corporation | Method and apparatus for optical emission end point detection in plasma etching processes |
US5450205A (en) | 1993-05-28 | 1995-09-12 | Massachusetts Institute Of Technology | Apparatus and method for real-time measurement of thin film layer thickness and changes thereof |
IL107549A (en) | 1993-11-09 | 1996-01-31 | Nova Measuring Instr Ltd | Device for measuring the thickness of thin films |
US5980767A (en) | 1994-02-25 | 1999-11-09 | Tokyo Electron Limited | Method and devices for detecting the end point of plasma process |
JPH08232087A (ja) | 1994-12-08 | 1996-09-10 | Sumitomo Metal Ind Ltd | エッチング終点検出方法及びエッチング装置 |
US5648198A (en) | 1994-12-13 | 1997-07-15 | Kabushiki Kaisha Toshiba | Resist hardening process having improved thermal stability |
US5751416A (en) | 1996-08-29 | 1998-05-12 | Mississippi State University | Analytical method using laser-induced breakdown spectroscopy |
US6060328A (en) | 1997-09-05 | 2000-05-09 | Advanced Micro Devices, Inc. | Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process |
US6535779B1 (en) | 1998-03-06 | 2003-03-18 | Applied Materials, Inc. | Apparatus and method for endpoint control and plasma monitoring |
US6081334A (en) | 1998-04-17 | 2000-06-27 | Applied Materials, Inc | Endpoint detection for semiconductor processes |
US6090302A (en) | 1998-04-23 | 2000-07-18 | Sandia | Method and apparatus for monitoring plasma processing operations |
US6132577A (en) | 1998-04-23 | 2000-10-17 | Sandia Corporation | Method and apparatus for monitoring plasma processing operations |
US6381008B1 (en) | 1998-06-20 | 2002-04-30 | Sd Acquisition Inc. | Method and system for identifying etch end points in semiconductor circuit fabrication |
TW439143B (en) | 1999-03-01 | 2001-06-07 | United Microelectronics Corp | Method to prevent first-wafer effect |
US6582618B1 (en) | 1999-09-08 | 2003-06-24 | Advanced Micro Devices, Inc. | Method of determining etch endpoint using principal components analysis of optical emission spectra |
US6419846B1 (en) | 1999-09-08 | 2002-07-16 | Advanced Micro Devices, Inc. | Determining endpoint in etching processes using principal components analysis of optical emission spectra |
US7030335B2 (en) | 2000-03-17 | 2006-04-18 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
JP3565774B2 (ja) | 2000-09-12 | 2004-09-15 | 株式会社日立製作所 | プラズマ処理装置及び処理方法 |
US6745095B1 (en) | 2000-10-04 | 2004-06-01 | Applied Materials, Inc. | Detection of process endpoint through monitoring fluctuation of output data |
TW544791B (en) | 2000-11-28 | 2003-08-01 | Tokyo Electron Ltd | Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy |
US20030005943A1 (en) | 2001-05-04 | 2003-01-09 | Lam Research Corporation | High pressure wafer-less auto clean for etch applications |
US20040235303A1 (en) | 2001-05-04 | 2004-11-25 | Lam Research Corporation | Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy |
US6703250B2 (en) * | 2002-02-14 | 2004-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of controlling plasma etch process |
US6815653B2 (en) | 2002-04-15 | 2004-11-09 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and apparatus for early detection of material accretion and peeling in plasma system |
US20040058359A1 (en) | 2002-05-29 | 2004-03-25 | Lin Mei | Erbin as a negative regulator of Ras-Raf-Erk signaling |
US6830939B2 (en) | 2002-08-28 | 2004-12-14 | Verity Instruments, Inc. | System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra |
JP2006501620A (ja) | 2002-09-30 | 2006-01-12 | 東京エレクトロン株式会社 | プラズマ処理システムとともに光学系を使用するための装置及び方法 |
TWI240326B (en) | 2002-10-31 | 2005-09-21 | Tokyo Electron Ltd | Method and apparatus for determining an etch property using an endpoint signal |
TWI240601B (en) | 2002-11-26 | 2005-09-21 | Tokyo Electron Ltd | Plasma processing system and method |
US20060006139A1 (en) | 2003-05-09 | 2006-01-12 | David Johnson | Selection of wavelengths for end point in a time division multiplexed process |
US7328126B2 (en) | 2003-09-12 | 2008-02-05 | Tokyo Electron Limited | Method and system of diagnosing a processing system using adaptive multivariate analysis |
US7241397B2 (en) | 2004-03-30 | 2007-07-10 | Tokyo Electron Limited | Honeycomb optical window deposition shield and method for a plasma processing system |
US7959970B2 (en) | 2004-03-31 | 2011-06-14 | Tokyo Electron Limited | System and method of removing chamber residues from a plasma processing system in a dry cleaning process |
US7312865B2 (en) | 2004-03-31 | 2007-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for in situ monitoring of chamber peeling |
US20050241669A1 (en) | 2004-04-29 | 2005-11-03 | Tokyo Electron Limited | Method and system of dry cleaning a processing chamber |
US7334477B1 (en) | 2004-12-22 | 2008-02-26 | Lam Research Corporation | Apparatus and methods for the detection of an arc in a plasma processing system |
US7862683B2 (en) | 2005-12-02 | 2011-01-04 | Tokyo Electron Limited | Chamber dry cleaning |
JP4640828B2 (ja) | 2006-03-17 | 2011-03-02 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US7906032B2 (en) | 2006-03-31 | 2011-03-15 | Tokyo Electron Limited | Method for conditioning a process chamber |
CN100587902C (zh) | 2006-09-15 | 2010-02-03 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 在线预测刻蚀设备维护的方法 |
CN100568448C (zh) | 2007-01-12 | 2009-12-09 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种等离子刻蚀设备的刻蚀终点检测装置与方法 |
US7427519B2 (en) | 2007-07-25 | 2008-09-23 | Macronix International Co., Ltd. | Method of detecting end point of plasma etching process |
JP2009054818A (ja) | 2007-08-28 | 2009-03-12 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法および終点検出方法 |
US7964039B2 (en) | 2007-09-07 | 2011-06-21 | Imec | Cleaning of plasma chamber walls using noble gas cleaning step |
JP5192850B2 (ja) | 2008-02-27 | 2013-05-08 | 株式会社日立ハイテクノロジーズ | エッチング終点判定方法 |
US8158017B2 (en) | 2008-05-12 | 2012-04-17 | Lam Research Corporation | Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations |
US20090325387A1 (en) | 2008-06-26 | 2009-12-31 | Applied Materials, Inc. | Methods and apparatus for in-situ chamber dry clean during photomask plasma etching |
KR101520453B1 (ko) | 2009-02-10 | 2015-05-20 | 삼성전자주식회사 | 플라즈마용 광학 장치 |
JP5383265B2 (ja) | 2009-03-17 | 2014-01-08 | 株式会社日立ハイテクノロジーズ | エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム |
IE20090628A1 (en) | 2009-08-17 | 2011-03-30 | Lexas Res Ltd | Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface or substrate. |
US8415884B2 (en) | 2009-09-08 | 2013-04-09 | Tokyo Electron Limited | Stable surface wave plasma source |
WO2011063407A2 (en) | 2009-11-23 | 2011-05-26 | The University Of Notre Dame Du Lac | Methods and apparatus for plasma based adaptive optics |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
NL2005863A (en) | 2009-12-28 | 2011-06-29 | Asml Netherlands Bv | Calibration method and apparatus. |
KR20120004190A (ko) | 2010-07-06 | 2012-01-12 | 삼성전자주식회사 | 반도체 제조장치의 세정방법 |
US8877080B2 (en) | 2010-10-18 | 2014-11-04 | Tokyo Electron Limited | Using vacuum ultra-violet (VUV) data in microwave sources |
US8173451B1 (en) | 2011-02-16 | 2012-05-08 | Tokyo Electron Limited | Etch stage measurement system |
KR20120126418A (ko) | 2011-05-11 | 2012-11-21 | (주)쎄미시스코 | 플라즈마 모니터링 시스템 |
US20130016344A1 (en) | 2011-07-14 | 2013-01-17 | Larry Bullock | Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process |
KR20130062791A (ko) | 2011-12-05 | 2013-06-13 | 삼성전자주식회사 | 플라즈마 진단 장치 및 방법 |
TWI518525B (zh) * | 2012-10-17 | 2016-01-21 | 東京威力科創股份有限公司 | 使用多變量分析之電漿蝕刻程序的終點偵測方法 |
CN103117202B (zh) | 2013-02-19 | 2015-09-09 | 中微半导体设备(上海)有限公司 | 等离子体处理工艺的终点检测装置及方法 |
EP3017308A4 (en) * | 2013-07-05 | 2017-04-26 | University Of Washington Through Its Center For Commercialization | Methods, compositions and systems for microfluidic assays |
JP6236942B2 (ja) * | 2013-07-10 | 2017-11-29 | 富士通株式会社 | 配管接続構造、冷却システム、及び、電子機器 |
US9760008B2 (en) * | 2013-12-05 | 2017-09-12 | Tokyo Electron Limited | Direct current superposition freeze |
US9200950B2 (en) | 2014-02-25 | 2015-12-01 | Applied Materials, Inc. | Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform |
US9508529B2 (en) * | 2014-10-23 | 2016-11-29 | Lam Research Corporation | System, method and apparatus for RF power compensation in a plasma processing system |
-
2018
- 2018-03-13 SG SG11201908533P patent/SG11201908533PA/en unknown
- 2018-03-13 CN CN201880018082.9A patent/CN110431655A/zh active Pending
- 2018-03-13 WO PCT/US2018/022253 patent/WO2018170010A1/en active Application Filing
- 2018-03-13 US US15/920,295 patent/US10446453B2/en active Active
- 2018-03-13 KR KR1020197030493A patent/KR20190121864A/ko not_active Application Discontinuation
- 2018-03-13 JP JP2019550724A patent/JP2020515063A/ja active Pending
- 2018-03-15 TW TW107108741A patent/TWI766964B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100081285A1 (en) * | 2008-09-30 | 2010-04-01 | Tokyo Electron Limited | Apparatus and Method for Improving Photoresist Properties |
JP2014532988A (ja) * | 2011-10-27 | 2014-12-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低k及びその他の誘電体膜をエッチングするための処理チャンバ |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI812185B (zh) * | 2021-04-27 | 2023-08-11 | 日商愛發科股份有限公司 | 蝕刻方法 |
Also Published As
Publication number | Publication date |
---|---|
TWI766964B (zh) | 2022-06-11 |
KR20190121864A (ko) | 2019-10-28 |
CN110431655A (zh) | 2019-11-08 |
SG11201908533PA (en) | 2019-10-30 |
WO2018170010A1 (en) | 2018-09-20 |
US10446453B2 (en) | 2019-10-15 |
US20180269119A1 (en) | 2018-09-20 |
TW201844063A (zh) | 2018-12-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2020515063A (ja) | エッチングメトリックを向上させるための表面改質制御 | |
US20180286707A1 (en) | Gas additives for sidewall passivation during high aspect ratio cryogenic etch | |
TWI626686B (zh) | 用於半導體製造之內部電漿格柵應用 | |
KR101633937B1 (ko) | Dc 및 rf 하이브리드 처리 시스템 | |
US7504040B2 (en) | Plasma processing apparatus and plasma processing method | |
JP6506915B2 (ja) | 半導体製造用の内部プラズマグリッド | |
US20150364349A1 (en) | Dual chamber plasma etcher with ion accelerator | |
TWI508162B (zh) | Plasma processing methods and computer readable memory media | |
TW201730682A (zh) | 低粗糙度之極紫外光微影技術 | |
US20070026321A1 (en) | Cluster tool and method for process integration in manufacturing of a photomask | |
EP1749901A2 (en) | Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same | |
JP2018536981A (ja) | 原子レベル分解能及びプラズマ処理制御のための方法 | |
US20070298617A1 (en) | Processing method | |
JP2005012218A (ja) | エッチング処理をモニタリングする方法およびシステム | |
JP2008527711A (ja) | フォトレジスト及びエッチング残渣の低圧除去方法 | |
Yamamoto et al. | Feature profiles on plasma etch of organic films by a temporal control of radical densities and real-time monitoring of substrate temperature | |
KR20080018810A (ko) | 세정 공정의 종말점을 검출하는 방법 | |
JP2015057854A (ja) | プラズマ処理方法 | |
JP6169666B2 (ja) | プラズマ処理方法 | |
TW202036725A (zh) | 使用經照射蝕刻溶液的材料粗糙度降低方法 | |
US20240047222A1 (en) | Etching method | |
US20230230810A1 (en) | Plasma processing gas, plasma processing method, and plasma processing apparatus | |
WO2023137275A1 (en) | High selectivity and uniform dielectric etch | |
Lee et al. | Pulse-Biased Etching of Si3N4 Layer in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Resist Structures | |
VOSHCHENKOV | Fundamentals of plasma etching for silicon technology (Part 1) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20201124 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210706 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210928 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20211207 |