TWI766964B - 用於蝕刻指標提升之表面改性控制 - Google Patents

用於蝕刻指標提升之表面改性控制 Download PDF

Info

Publication number
TWI766964B
TWI766964B TW107108741A TW107108741A TWI766964B TW I766964 B TWI766964 B TW I766964B TW 107108741 A TW107108741 A TW 107108741A TW 107108741 A TW107108741 A TW 107108741A TW I766964 B TWI766964 B TW I766964B
Authority
TW
Taiwan
Prior art keywords
surface modification
modification process
plasma
substrate
monitoring
Prior art date
Application number
TW107108741A
Other languages
English (en)
Other versions
TW201844063A (zh
Inventor
布萊恩 J 科帕
威斯瓦斯 普羅希
誠一 渡部
賢次 小松
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201844063A publication Critical patent/TW201844063A/zh
Application granted granted Critical
Publication of TWI766964B publication Critical patent/TWI766964B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

本文揭示一種基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法。該方法包含將表面改性氣體流至電漿處理系統之電漿處理腔室中;點燃電漿處理腔室中的電漿,以使用於形成在基板上之疊層的表面改性製程開始;及在該疊層的表面改性製程期間,從附接至電漿處理腔室的光學發射光譜學系統獲取光學發射光譜。舉一實施例而言,該方法包含基於所獲取的光學發射光譜,改變表面改性製程的至少一參數。舉一實施例而言,所獲取之光學發射光譜可包含光譜線之強度、光譜線之斜率、或兩者,以實現表面改性製程的終點控制。本文亦揭示額外的方法及相關系統。

Description

用於蝕刻指標提升之表面改性控制
本發明有關監測及控制製程的方法,且尤其關於監測及控制電漿輔助表面改性製程的方法。 [相關申請案的交互參照] 本申請案主張以下共同待審之2017年3月17日提出申請、題為「SURFACE MODIFICATION CONTROL FOR ETCH METRIC ENHANCEMENT」的美國臨時專利申請案第62/473,193號之優先權,其係整體併入於此做為參考。
光學發射光譜學(Optical emission spectroscopy, OES)光譜已用於電漿乾式蝕刻製程來判定蝕刻終點。舉例而言,當蝕刻製程通過第一材料層到具有不同組成材料的第二材料層中時,通常可見到OES光譜內容中的突然改變。此突然改變可用做蝕刻終點指標。辨識適當的蝕刻終點幫助控制蝕刻製程期間發生至停止層中的蝕刻量。然而,即使在更精確之終點控制的情況下,蝕刻製程仍可能在形成於蝕刻製程期間之圖案化特徵部的線寬、線邊緣、及臨界尺寸(CD)上導致不期望的變異。例示OES系統及方法係敘述於美國專利第5,980,767號、美國專利第6,677,604號、及美國專利公開申請案第2005/0173375號中,其各者係整體併入於此做為參考。
圖1A(先前技術)為製造程序的例示實施例100之流程圖,該製造程序包含例如使用OES終點控制之蝕刻製程。在方塊102中,阻劑(例如光阻)層係形成在用於微電子工件的基板上,且基板可包含一或更多先前形成的層及/或結構。在方塊104中,使用標準製程參數對阻劑層施加預處理製程。舉例而言,預處理可包含利用上電極DC疊加(DCS)電壓之施加的電子束(e束)阻劑表面改性預處理。在方塊106中,例如使用OES終點控制執行蝕刻製程以產生圖案化結構。此蝕刻製程部分地使用阻劑層來形成圖案化結構。利用DCS預處理的例示系統及方法係敘述於美國專利公開案第2015/0160557號中,其係整體併入於此做為參考。
圖1B(先前技術)為圖案化結構的例示實施例150,該圖案化結構包含使用圖1A(先前技術)之步驟在用於微電子工件的基板152上形成的複數線結構154。該等線結構154可包含一或更多層。線結構154內的變異可能導致後續相關於基板152形成之微電子元件方面的問題。這些變異包含線複數結構154之間之溝槽的底部表面165中的CD變異、線結構154之寬度158的線寬變異、及線結構154之邊緣160的線邊緣變異。CD變異、線寬粗糙度(LWR)、及線邊緣粗糙度(LER)係通常用來評估蝕刻製程及/或其他表面改性製程之成功的參數。典型地,期望減少形成在用於微電子工件的基板152內之圖案化結構中的CD變異、LER、及LWR。其他蝕刻指標亦可相關於蝕刻製程加以監測及/或量測。
本文揭示監測及控制形成於基板上之疊層之電漿輔助表面改性製程的方法。該方法包含使表面改性氣體流至電漿處理系統之電漿處理腔室中;點燃電漿處理腔室中的電漿,以開始針對形成於基板上之疊層的表面改性製程;及在針對疊層之表面改性製程的期間,從附接至電漿處理腔室的光學發射光譜學系統獲取光學發射光譜。舉一實施例而言,該方法亦包含基於所獲取的光學發射光譜而改變表面改性製程的至少一參數。舉一實施例而言,所獲取的光學發射光譜可包含光譜線強度、光譜線斜率、或兩者。本文亦揭示額外的方法及相關系統。
如此處所述,可在整合式蝕刻序列期間的諸多點施加之光阻(例如阻劑)表面改性步驟的光學發射光譜學(OES)光譜係與蝕刻後指標相互關聯,以形成並系統性控制後續的逐晶圓蝕刻均勻性,俾以判定OES終點控制的可行性和應用。此概念係足夠概括性以應用在廣泛範圍的具有阻劑預處理表面改性或硬化步驟之基於電漿之乾式蝕刻或沉積製程、或甚至針對開始硬遮罩乾式蝕刻前之殘留物移除的除渣步驟。此外,製程可應用於針對由其他材料製成之疊層(例如藉由旋塗及薄膜沉積製程所施加的奈米晶形硬遮罩及介電質)的表面改性製程。
如以下進一步敘述並歸結,該概念係在使用產線後段(BEOL)溝槽晶圓的蝕刻腔室上展示,以成功地產生新的將實施於生產中的最佳已知方法(best known method, BKM)。因此,此方法借助可控制地施加電子束(e束)阻劑表面改性預處理的硬體能力,該預處理利用上電極DC疊加電壓(亦即DCS電壓)以供產生相關於電漿的偏壓,俾達成所需的蝕刻指標。光阻係通常主要由C-H分子組成,且電子束暴露與反應性氣體暴露結合引發CH交聯,其使材料硬化而導致較高的抗蝕刻性,因為CH鍵結密度在表面處增加。再者,相對於較不直接且更耗時之異地蝕刻後指標-阻劑性質變化相互關聯性的工業標準,OES終點阻劑表面改性控制(特別是包含CH氣體強度的追蹤)可原位應用於CD修整及先進圖案化方法,以改善所需的蝕刻指標。其他變化亦可實施,而同時仍利用此處所述技術的優勢。
可實施諸多實施例,且可採用不同的特徵及變化。
舉一實施例而言,揭示一種基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,且該方法包含將表面改性氣體流至電漿處理系統之電漿處理腔室中;點燃電漿處理腔室中的電漿,以使用於形成在基板上之疊層的表面改性製程開始;及在該疊層的表面改性製程期間,從附接至電漿處理腔室的光學發射光譜學系統獲取光學發射光譜。
在額外實施例中,電漿處理系統係一電漿蝕刻系統,且表面改性製程發生在一或更多蝕刻製程之前或之後。在進一步的實施例中,電漿處理系統係具有沉積及/或蝕刻能力的電漿系統,且改性製程發生在一或更多蝕刻或沉積製程之前或之後。
在額外實施例中,來自所獲取之光學發射光譜的資料係用以判定表面改性製程的終點。在進一步的實施例中,該方法亦包含基於光學發射光譜,使表面改性製程停止在經決定終點時間。終點的一範例將為光譜中之初始尖峰後的代表性波長之強度上呈水平,該光譜係整體關聯於表面改性製程。
在額外實施例中,來自所獲取之光學發射光譜的資料包含光譜線之強度、光譜線之斜率、或兩者。在進一步的實施例中,光譜線為對應至選自由下列者組成之群組的化學元素或化合物之光譜線:N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及F。在另一進一步實施例中,光譜線為對應至包含N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及F其中一或更多者的化學元素或化合物之光譜線。
在額外實施例中,該方法亦包含基於所獲取的光學發射光譜改變表面改性製程的至少一參數。在進一步的實施例中,表面改性製程的至少一參數係選自由下列者組成的群組:供給至電漿處理腔室之RF或微波功率、RF或微波功率脈衝頻率、RF或微波脈衝工作週期、基板溫度、供給至電漿處理腔室中之基板固持器的RF功率、基板固持器的DC偏壓、供給至鄰近基板固持器而配置之至少一電極的DC偏壓電壓、氣體流率、氣體壓力、表面改性氣體流、表面改性氣體壓力、及表面改性製程的持續時間。在另一進一步實施例中,表面改性製程的至少一參數包含下列之一或更多者:供給至電漿處理腔室之RF或微波功率、RF或微波功率脈衝頻率、RF或微波脈衝工作週期、基板溫度、供給至電漿處理腔室中之基板固持器的RF功率、基板固持器的DC偏壓、供給至鄰近基板固持器而配置之至少一電極的DC偏壓電壓、氣體流率、氣體壓力、表面改性氣體流、表面改性氣體壓力、及表面改性製程的持續時間。
在更進一步的實施例中,執行改變表面改性製程之至少一參數的步驟,以使表面改性製程的持續時間最小化。在另一實施例中,執行改變表面改性製程之至少一參數的步驟,以改善在表面改性製程後之電漿蝕刻或沉積製程期間形成的圖案之物理性質、或幾何特性、或兩者。在進一步的實施例中,物理性質包含密度或濕式蝕刻抗性的至少一者,且幾何特性包含線寬粗糙度(LWR)或線邊緣粗糙度(LER)的至少一者。
在額外實施例中,執行改變表面改性製程之至少一參數的步驟,以使在表面改性製程後之蝕刻或沉積製程期間形成的圖案之均勻性指標最大化。在進一步的實施例中,均勻性指標係選自由臨界尺寸(CD)均勻性、側壁角度(SWA)均勻性、及溝槽深度均勻性(TDU)組成的群組。在另一進一步實施例中,均勻性指標包含臨界尺寸(CD)均勻性、側壁角度(SWA)均勻性、及溝槽深度均勻性(TDU)其中一或更多者。
在額外實施例中,執行改變表面改性製程之至少一參數的步驟,以使在後續受處理之產品基板或後續受處理之產品基板批量的蝕刻製程期間形成的圖案之均勻性指標最大化。
在額外實施例中,執行改變表面改性製程之至少一參數的步驟,以改變暴露至表面改性製程的材料之物理性質、幾何性質、電性質、化學性質、或機械性質。在進一步的實施例中,性質係選自由下列者組成的群組:蝕刻寬度臨界尺寸(CD)、彎曲、傾斜、扭轉、蝕刻選擇性、側壁角度(SWA)、蝕刻深度、表面覆蓋率、階梯覆蓋率、疊層厚度、疊層密度、疊層組成、疊層平滑度、及疊層硬度。在另一進一步實施例中,性質包含蝕刻寬度臨界尺寸(CD)、彎曲、傾斜、扭轉、蝕刻選擇性、側壁角度(SWA)、蝕刻深度、表面覆蓋率、階梯覆蓋率、疊層厚度、疊層密度、疊層組成、疊層平滑度、及疊層硬度的其中一或更多者。
在額外實施例中,執行改變表面改性製程之至少一參數的步驟,以改變後續受處理之產品基板或後續受處理之產品基板批量中的一疊層之物理性質、幾何性質、電性質、或機械性質。在進一步的實施例中,改變表面改性製程之至少一參數的步驟係原位執行。
在額外實施例中,表面改性氣體包含氫、溴化氫、氮、碳、氯、硫、氬、氦、氧、或其二或更多者的組合。在進一步的實施例中,形成於基板上之疊層包含選自由下列者組成之群組的材料:光阻、旋塗介電材料、原子或化學氣相沉積之介電材料、低k介電材料、高k介電材料、傳導性材料、或其二或更多者的組合。在另一進一步實施例中,形成於基板上之疊層包括含有下列之一或更多者的材料:光阻、旋塗介電材料、原子或化學氣相沉積之介電材料、低k介電材料、高k介電材料、傳導性材料、或其二或更多者的組合。
在額外實施例中,形成於基板上之疊層包含化學放大阻劑(CAR),且該方法亦包含基於所獲取的光學發射光譜,改變表面改性製程的至少一參數,以減少該CAR的圖案崩塌可能性。在進一步的實施例中,該CAR包含基於ArF的乾式或浸漬阻劑。
在額外實施例中,形成於基板上之疊層包含和極紫外(EUV)光微影一起使用的阻劑。在進一步的實施例中,EUV阻劑包含選自由下列者組成之群組的材料:金屬硬遮罩(MHM)層、奈米晶形金屬氧化物MHM層、及包含以上之層之組合的MHM堆疊。在另一進一步實施例中,EUV阻劑包含金屬硬遮罩(MHM)層、奈米晶形金屬氧化物MHM層、及包含以上之層之組合的MHM堆疊的其中一或更多者。
在額外實施例中,表面改性製程包含電子束暴露的表面改性製程。在進一步的實施例中,電子束暴露之表面改性製程包含施加直流(DC)疊加電壓至電漿處理腔室內的電極。在另一進一步實施例中,形成於基板上的疊層包含阻劑層,且電子束暴露之表面改性製程促進該阻劑層的固化或硬化。在更進一步的實施例中,電子束之電壓隨暴露時間增加,俾使針對疊層將疊層的塊體改性。
在額外實施例中,表面改性製程包含表面硬化步驟、形成於基板上之疊層的固化、或用於殘餘物去除之除渣步驟其中至少一者。
舉一實施例而言,揭示一種其上儲存有指令的非暫態機器可存取儲存媒體,該指令致使資料處理系統執行電漿處理工具中之表面改性製程的監測及控制方法,該方法包含將表面改性氣體流至電漿處理系統之電漿處理腔室中;點燃電漿處理腔室中的電漿,以使用於形成在基板上之疊層的表面改性製程開始;及在該疊層的表面改性製程期間,從附接至電漿處理腔室的光學發射光譜學系統獲取光學發射光譜。
在額外實施例中,表面改性製程包含基於電子束或紫外光暴露的表面改性製程。在進一步的實施例中,形成在基板上的疊層包含微電子元件層,該微電子元件層包含阻劑、襯墊、硬遮罩、傳導性、隔離性或間隙填充材料、及類似者其中至少一者,且其中電子束暴露之表面改性製程促進阻劑層的固化或硬化。
舉一實施例而言,揭示一種電漿處理工具中之表面改性製程的監測及控制方法,該方法包含:將表面改性氣體流至電漿處理系統之電漿處理腔室中;點燃電漿處理腔室中的電漿,以使用於形成在基板上之疊層的表面改性製程開始;及在表面改性製程期間,使用監測系統監測電漿處理腔室中的電漿。對於此方法而言,監測系統包含光學發射光譜學系統、雷射誘發螢光系統、雷射干涉系統、雷射光譜學系統、質譜系統、拉曼光譜學系統、殘餘氣體分析儀系統(RGA)、或傅立葉轉換紅外光(FTIR)系統其中至少一者。
在額外實施例中,表面改性製程包含電子束暴露表面改性製程。在進一步的實施例中,形成於基板上的疊層包含阻劑層,且電子束暴露表面改性製程促進阻劑層的固化或硬化。
本文揭示監測及控制形成於基板上之疊層之電漿輔助表面改性製程的方法。該方法包含使表面改性氣體流至電漿處理系統之電漿處理腔室中;點燃電漿處理腔室中的電漿,以開始針對形成於基板上之疊層的表面改性製程;及在針對疊層之表面改性製程的期間,從附接至電漿處理腔室的光學發射光譜學系統獲取光學發射光譜。舉一實施例而言,該方法亦包含基於所獲取的光學發射光譜而改變表面改性製程的至少一參數。舉一實施例而言,所獲取的光學發射光譜可包含光譜線強度、光譜線斜率、或兩者。表面改性製程可包含待改性之一或更多層的高密度電子暴露處理或基於紫外光的處理。本文亦揭示額外的方法及相關系統。
如以下進一步敘述,在半導體晶圓中溝槽特徵部之乾式蝕刻前執行使用光學發射光譜學(OES)的光阻預處理最佳化,以改善從完整蝕刻製程所產生的關鍵指標。關鍵指標包含但不限於:溝槽深度均勻性(TDU)、線寬粗糙度(LWR)、線邊緣粗糙度(LER)、輪廓彎曲、輪廓形狀/側壁角度(SWA)、選擇性、及蝕刻輪廓寬度臨界尺寸(CD)。在乾式蝕刻預處理步驟期間,OES係原位上用作對於監測阻劑相變化、表面改性、組成變化、及表面硬化效應的診斷,以針對關聯於一或更多元素及/或化合物的特定波長判定理想或有利特徵。因此,發現OES監測及終點控制可應用於表面改性或預處理製程,以供相關於蝕刻/沉積製程的指標最佳化。再者,有事實上改善阻劑之表面平滑度及蝕刻抗性的處理參數之狹窄窗,且理想固化條件通常無法轉換至其他不同阻劑類型或結構組成,因此,提高了基於OES之阻劑固化條件及最終終點控制之最佳化的必要性。待判定的終點可與關聯於改性製程之特定波長的斜率或微分斜率相關。因此,處理製程的OES監測隨著即時回饋而加速學習程序,以驗證出理想固化條件。
舉以下更詳細描述的一實施例而言,預處理步驟係增加至已存在的20秒(s)溝槽記錄製程(POR)配方,該溝槽POR配方具有僅5秒製程時間之阻劑表面改性/硬化步驟,其相較於無阻劑表面改性之POR改善30%之線寬粗糙度(LWR)及52%之TDU均勻性,同時勝過或實質上符合所有其他蝕刻圖案規格。由於電子束暴露表面改性步驟期間指示阻劑表面改性效應更早完成(形成更平滑的改性層)的OES訊號響應,所以減少電子束或DCS上電極電壓及阻劑表面改性時間係實施於製程配方中。額外表面改性時間導致阻劑損失及更粗糙之阻劑表面,而分別產生更寬的CD及更高的LWR。對於在以下兩者相對之間評估的所有波長,發現顯著相異及相同的OES響應:具有高度阻劑損失及粗糙度及/或LWR增加的配方、與導致較低LWR及較少CD增加(阻劑損失)的配方條件,且這些OES響應因而導致改善蝕刻輪廓指標的阻劑平滑化,對於微電子元件應用是期望的。但是應注意,較高的施加電子束電壓產生較高電子束能量,而使電子能更深入穿透至待改性材料中。因此,若對於keV射束能量的暴露時間延長,膜層整體將受到改性,而使此技術延伸超過僅僅表面處理的程度。
針對理想或改善的結果性側壁角度/輪廓、CD、LWR、及TDU,亦可實施OES終點控制以使阻劑表面改性/硬化改性步驟自動進行。這些理想或改善的指標可達成,而仍利用由明顯不同之OES波長響應(CH強度位準及斜率)顯示的N2/H2防止因過度暴露至高電壓電子束而產生的阻劑分解。OES係典型地僅用於穿透硬遮罩及後續之下方層的真實蝕刻步驟。反之,所揭實施例將OES用於光阻表面改性製程控制加速最佳化及/或使電子束暴露表面改性步驟自動進行,以獲得特定阻劑表面改性效應及之後的更理想之結果性後續蝕刻指標。此概念亦基於改性步驟的之最終終點改善製程產能及最終之晶圓生產循環時間。再者,其可施加於任何類型的蝕刻前或蝕刻後或沉積處理表面改性步驟(例如光阻之除渣),或施加於其他不同的材料(例如硬遮罩、介電質)以供隔離目的或擴散阻障層之緻密化。
舉額外的實施例而言,除了OES之外的其他技術可以單獨及/或互相關連的方式施加,包括:原位傅立葉轉換紅外光譜學(FTIR)、拉曼光譜學(Raman Spectroscopy)(例如觀測系統中之振動、轉動、及其他低頻模式)、殘餘氣體分析儀光譜測定法、及/或其他光譜學技術,以供在材料固化步驟期間交互參照逐漸形成的副產物。固化之後的後續蝕刻製程之OES監測亦可加以監測,以量測相關於與特定阻劑蝕刻副產物相互關聯之阻劑蝕刻抗性或選擇性的表面改性處理有效性。預處理或後處理步驟副產物的OES監測亦可用以將光譜特徵與所期望之結果性製程後指標相互關聯,該副產物係於乾式蝕刻以外之其他類型基於電漿的腔室(例如沉積系統,包含用於圖案化(例如形成在圖案化光阻上以供多重圖案化的ALD間隔件)的原子層沉積(ALD)及化學汽相沉積(CVD)系統)中從晶圓逐漸形成。一旦辨識出理想或期望之光譜特徵,基於OES之終點亦可加以應用。
舉進一步之實施例而言,此處所述的OES監測技術亦可提供諸多額外優點。舉例而言,此處所述之OES監測可用以提供阻劑固化製程之自動化OES控制預處理及/或基板上其他下方材料的預處理或後處理製程。固化處理的OES監測亦可用以消除例如浸漬或乾式基於ArF之阻劑之傳統化學放大阻劑(chemically amplified resists, CAR)的圖案崩塌,尤其是在極紫外光微影的情形。
舉又進一步之實施例而言,由所揭實施例所提供之OES監測亦使較低成本、較習知的阻劑(例如ArF阻劑)得以用來取代尖端元件圖案化中的較高成本之金屬有機EUV阻劑。舉例而言,例如錫氧化物、鈦氧化物、鋯氧化物之金屬氧化物阻劑、及/或其他金屬氧化物阻劑可利用此技術加以改性。此外,此處提供的OES監測可在EUV暴露期間放寬光敏感度及解析度相對光微影圖案化中之線邊緣粗糙度(LER)的製程窗,該製程窗為延伸積體電路縮放之摩爾定律所必須的影響此關鍵圖案化方法製造能力之典型權衡。此OES監測亦可用以減少顯影後EUV粗糙度,以減少下游圖案粗糙度及LWR或其他關鍵蝕刻指標,俾以改善晶圓產能。
又進一步,所揭OES監測技術可相關於以下者而使用:沉積於基板上的金屬硬遮照(metallic hard-mask, MHM)層、旋塗於基板上的奈米晶形金屬氧化物MHM層、或包含這些層之組合的MHM堆疊、及/或其他MHM層及MHM層之組合。OES可用以監測奈米晶形MHM之回流,以改善間隙填充製程的平面性,尤其是在keV範圍電子束的情形中。在用於表面改性(例如阻劑固化或硬化)步驟的電子束或紫外光暴露期間,其他變化及應用亦可實施,而同時仍利用此處所述實施例的優勢。
注意相關於蝕刻製程及有關腔室而使用的OES系統及方法係敘述於例如美國專利第5980767號、美國專利第6677604號、及美國專利公開申請案第2005/0173375號中,其各者係整體併入於此作為參考。前述的這些OES系統及方法及/或類似的實施方式可用於本文所述有關對於相關於一或更多蝕刻及/或沉積製程之一或更多預處理或後處理製程的OES監測之的實施例。
依據本發明之例示OES監測實施例係於以下相關於圖式而更加詳述。然而,注意這些實施例係作為範例而提供,且不同及/或額外實施例可加以實施,同時仍利用此處所述技術的優勢,以將OES監測應用於針對相關於蝕刻及/或沉積製程步驟之預處理及/或額外後處理製程設定參數,俾以改善關聯於結果性圖案化結構的蝕刻指標及/或沉積指標。在相對電子束的基於UV光之改性的情形中,待施加的UV光源將理想地輸出將不干擾由光譜光偵測器所偵測之關鍵峰值(critical peaks)或與其重疊的波長,以供準確地監測預處理或後處理製程。
圖2A為製程的例示實施例200之流程圖,該製程包含蝕刻製程及/或沉積製程,其中在表面改性製程期間使用OES監測以改善圖案化結構的指標。類似於先前的解決方案,在方塊202中,使阻劑(例如光阻)層形成在用於微電子工件的基板上,且基板可包含一或更多先前形成的疊層及/或結構。與先前的解決方案相反,OES監測係用以在方塊204中,針對施加至阻劑層的一或更多預處理或後處理表面改性製程設定參數。如同先前之解決方案的情形,表面改性可包含例如利用上電極DC疊加(DCS)電壓之施加的電子束(e束)阻劑表面改性步驟(例如阻劑固化或硬化製程步驟)。在方塊206中,執行蝕刻製程或沉積製程以產生圖案化結構。如同先前之解決方案的情形,此蝕刻製程可使用OES終點控制。此蝕刻製程部分地使用阻劑層來形成圖案化結構。
圖2B為圖案化結構的例示實施例250,該圖案化結構包含使用圖2A之步驟在用於微電子工件的基板252上形成的線結構254。線結構254可包含一或更多層。線結構254內的變異係藉由如此處所述將OES監測應用於預處理製程而減少,且相關於基板252所形成的結果性微電子元件亦受到改善。這些減少的變異包含減少的線結構254之間之溝槽的底部表面256中的CD變異、減少的線結構254之寬度258的線寬變異、及減少的線結構254之邊緣260的線邊緣變異。如以上所指出,CD變異、線寬粗糙度(LWR)、及線邊緣粗糙度(LER)為通常用以評估蝕刻製程及/或其他表面改性製程之成功的參數。這些參數藉由此處所述的實施例而受到改善,且不同及/或額外的圖案參數亦可受到改善,例如溝槽深度均勻性(TDU)、側壁角度/輪廓、及/或其他圖案化結構的指標。
圖2C為由OES系統280監測之電漿處理裝置300的例示實施例270之方塊圖。OES系統280可用以提供此處所述的表面改性製程之OES監測。如此處進一步敘述,在表面改性製程期間,OES系統280在電漿處理裝置300之處理腔室內監測光譜能量,且此監測可用以調整製程參數及/或控制製程操作(例如終點控制)。
圖2D為製程之例示實施例210的方塊圖,其中相關於針對形成在基板上之疊層的表面改性製程使用OES監測。在方塊212中,使表面改性氣體流入電漿處理系統的電漿處理腔室中。在方塊214,點燃電漿處理系統中的電漿,以開始針對形成在基板上之疊層的表面改性製程。在方塊216中,於針對疊層的表面改性製程期間,從附接至電漿處理腔室的光學發射光譜學(OES)系統獲取光學發射光譜。在方塊218中,基於光學發射光譜使表面改性製程停止在經決定的終點時間。在方塊220中,接著基於所獲取的光學發射光譜改變表面改性製程的至少一參數。在方塊222中,接著基於OES光譜資料改變電子束配方(複數)參數。在方塊224中,接著使迭代發生以供獲取OES、停止表面改性製程、及改變表面改性製程之至少一參數,直到表面改性製程後之電漿蝕刻或沉積製程期間所形成的圖案之物理性質或幾何特性或兩者符合目標值。
圖2E為製程之例示實施例230的方塊圖,其中OES監測係用以監測、修改、及/或控制電子束處理。在方塊232中,將一或更多電子束處理步驟增加至製程配方。在方塊234中,針對(複數)電子束步驟收集及/或評估CD指標計量及OES光譜資料。在方塊236中,基於OES光譜資料改變(複數)電子束配方參數。在方塊238中,針對已改變的(複數)電子束配方步驟收集及/或評估CD指標計量及OES光譜資料。在方塊240中,將OES終點控制應用於(複數)電子束步驟。在方塊242中,依需要改變及/或重複流程序列。
圖3為例如電漿處理裝置之工件製造系統的例示實施例電漿處理裝置300之圖,該工件製造系統可用於此處所述之實施例。更尤其,圖3顯示僅針對說明性目的之電漿處理裝置的一例示實施例,其可用以實施此處所述的處理技術。吾人將察覺,其他電漿製程系統及其他蝕刻製程系統可同等地實施此處所述的技術。舉圖3之例示實施例電漿處理裝置300而言,針對電容耦合電漿(CCP)處理裝置提供示意剖面圖,該CCP處理裝置包含提供用於微電子工件之蝕刻腔室的製程空間(PS)。亦可使用替代的電漿製程裝置,包括例如但不限於:感應耦合電漿處理裝置、微波電漿處理裝置、及類似者。電容耦合電漿處理裝置可為特別適合,因為如此裝置的電極間距容許對電漿空間的局部區域的有益氣體控制,因此在基板上提供局部電漿處理。此外,CCP腔室具有產生電極偏壓的能力,以產生基板上之露出材料的高密度電子束暴露。然而,具有紫外光(UV)固化能力的非CCP蝕刻或沉積腔室亦可針對此處所提及之相同應用而利用OES終點控制的概念。
電漿處理裝置300可用於複數操作,包括灰化、蝕刻、沉積、清潔、電漿聚合、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)等等。電漿處理裝置300的結構為人熟知,且此處提供的特定結構係僅為例示性。電漿處理可在處理腔室301內執行,該處理腔室301可為由例如鋁或不銹鋼之金屬製成的真空腔室。處理腔室301定義提供用於電漿產生之製程空間(PS)的處理容器。處理容器的內壁可利用鋁、釔、或其他保護劑加以塗覆。處理容器可為圓柱形,或具有其他幾何配置。
在處理腔室301的下方中央區域,支座312(其可為圓盤形)可作為安裝台,其上可安裝例如待處理的基板302(例如半導體晶圓)。可將基板302移動通過裝載/卸載埠及閘閥而至處理腔室301中。支座312形成下電極組件320的部分作為第二電極的實例,其作為用於將基板302安裝於其上之安裝台。支座312可由例如鋁合金所形成。支座312上設有靜電卡盤(作為下電極組件的部分)以供固持基板302。靜電卡盤設有電極335。電極335係電性連接至直流(DC)電源(未顯示)。當將來自DC電源的DC電壓施加至電極335時,靜電卡盤經由所產生的靜電力將基板302向其吸引。支座312可經由匹配單元與高頻電源電性連接。對於其他實施例及處理腔室而言,可使用二或更多電源,並將其連接至電極335及/或處理腔室內的其他電極。此高頻電源(第二電源)可輸出例如2MHz至20MHz之範圍內的高頻電壓。施加高頻偏壓功率導致處理腔室301中產生之電漿內的離子被吸引到基板302。聚焦環338係設置在支座312的上表面以圍繞靜電卡盤。
排放路徑333可形成通過一或更多排放埠(未顯示),該等排放埠連接至氣體排放單元。氣體排放單元可包含例如渦輪分子泵的真空泵,其係用以將處理腔室301內之電漿處理空間泵抽至所期望的真空狀態。氣體排放單元排空處理腔室301的內部,從而使其內壓降壓至所期望的真空程度。
上電極組件370為第一電極的範例,且係垂直定位於下電極組件320的上方,以平行面對下電極組件320。電漿產生空間或製程空間(PS)係定義於下電極組件320與上電極組件370之間。上電極組件370包含具有圓盤形狀的內上電極371、及可為環形並圍繞內上電極371之外周的外上電極。內上電極371亦作用為處理氣體入口以供注入特定量的處理氣體至安裝在下電極組件320上之基板302上方的製程空間(PS)中。上電極組件370藉此形成噴淋頭。更具體而言,內上電極371包含氣體注射開口382。
上電極組件370可包含一或更多緩衝腔室389A、389B、及389C。緩衝腔室係用於擴散處理氣體,且可定義圓盤狀空間。處理氣體供給系統380供給氣體至上電極組件370。處理氣體供給系統380可用以供給用於在基板302上執行特定製程(例如成膜、蝕刻、及類似者)的處理氣體。處理氣體供給系統380系連接至氣體供給管線381A、381B、及381C,而形成處理氣體供給路徑。氣體供給關現系連接至內上電極371的緩衝腔室。然後處理氣體可從緩衝腔室移動至位於其下表面處的氣體注射開口382。引入至緩衝腔室389A-C中的處理氣體流速可例如藉由使用質流控制器加以調整。再者,所引入的處理氣體係從電極板(噴淋頭電極)氣體注射開口382排至製程空間(PS)。內上電極371部分作用為提供噴淋頭電極組件。
如圖3所示,三個緩衝腔室389A、389B、及389C係設置對應至邊緣緩衝腔室389A、中間緩衝腔室389B、及中央緩衝腔室389C。類似地,氣體供給管線381A、381B、及381C可配置成邊緣氣體供給管線381A、中間氣體供給管線381B、及中央氣體供給管線381C。緩衝腔室係以對應至基板之不同局部區域(在此情形中為邊緣、中間及中央)的方式設置。如以下將討論,這些區域可對應至針對基板302之局部區域的特定電漿製程條件。吾人將察覺,使用三個局部區域僅為例示性。因此,電漿處理裝置可配置成在任何數目之基板區域上提供局部電漿製程條件。再次進一步注意,可採用諸多配置的其中任一者,且此處所述的技術不限於其中製程氣體供給系統380係用以將氣體流分至不同緩衝腔室的方式。
上電極組件370係經由電力饋線365及匹配單元366與高頻電源(未顯示)(第一高頻電源)電性連接。高頻電源可輸出具有40MHz(百萬赫)或更高(例如60MHz)之頻率的高頻電壓,或可輸出具有30-300MHz之頻率的極高頻(VHF)電壓。此電源相較於偏壓電源可稱為主電源。注意對於若干實施例而言,沒有用於上電極的電源,且兩電源係連接至底部電極。亦可實施其他變化。
電漿處理裝置的元件可連接至控制單元且受其控制,該控制單元因此可連接至對應的記憶體儲存單元及使用者介面(全部未顯示)。諸多電漿處理操作可經由使用者介面執行,且諸多電漿處理配方及操作可儲存在儲存單元中。因此,給定基板可在電漿處理腔室內以諸多微製造技術加以處理。在操作中,電漿處理裝置使用上及下電極以在製程空間(PS)中產生電漿。此所產生的電漿然後可用於在例如電漿蝕刻、化學氣相沉積、半導體材料、玻璃材料及大型面板(例如薄膜太陽能電池、其他光伏打電池、及平板顯示器用有機/無機板等)之處理的諸多類型之處理中處理目標基板(例如基板302或任何待處理材料)。
現在看圖4A-B、5A-B、6A-B、7-8、9A-B、及10-11,其提供使用表面改性製程之OES監測來改善圖案化結構之指標的例示實施例,該圖案畫結構係使用後續蝕刻及/或沉積製程形成。注意相關於這些實施例而顯示及敘述的製程配方及圖案化結構僅為範例,且所揭示的OES監測技術可用於其他預處理或後處理配方步驟及圖案化結構。再注意,亦可實施額外及/或不同的製程及特徵,同時仍利用此處所述技術的優勢。
圖4A-B提供基於POR的用於例示產線後端(BEOL)溝槽製程改性之例示配方實施例。除了第一配方之外的所有配方皆使用阻劑電子束固化表面改性或預處理步驟。如此處所述,電子束暴露係用以促進已形成在用於微電子工件之基板表面上的阻劑層之固化或硬化。
首先看圖4A,配方402(標示為配方A-1)不包含用於阻劑的電子束固化改性步驟。配方404(標示為配方A-2)包含維持20秒之用於阻劑的高電壓電子束硬化改性。對於配方406(標示為配方A-4),此硬化時間減少10秒,且導致較少光阻損失、較少CD放寬、及較低的結果性LWR。
看向圖4B,額外的配方408及410(分別標示為配方A-5及A-6)係接著實施為以配方A-4為基礎的後續阻劑固化配方。對於配方408(標示為配方A-5),阻劑固化時間減至5秒。對於配方410(標示為配方A-6),阻劑固化時間亦減至5秒,且DCS電壓亦降低,其導致阻劑損失的進一步減少及更低的結果性LWR。此外,為了較高的溫度穩定性,中央及邊緣(C/E)電極溫度係設定成比攝氏10度之操作準則限制高攝氏(C)2度。
圖5A為圖4A-B所示配方之阻劑固化步驟期間,針對碳-氫(CH)分子化合物所測量、於431nm之波長下偵測之OES光譜強度位準的例示實施例500之圖。顯示經過固化步驟之整個過程的五個配方(A-1、A-2、A-4、A-5、A-6)之CH強度。吾人在其他相依於阻劑類型的測試中發現,太高的電子束暴露能量或電漿功率可能實際上消耗或縮減,並使阻劑表面粗糙,導致蝕刻後圖案化結構之更寬的CD。相關於配方A-2,針對此配方施加的900伏特(V)上電極電壓在初始之 2秒標記前以及2秒標記後的最高CH斜坡增加,產生關聯於阻劑表面相變化之最高的最大峰值強度。配方A-1對電子束處理步驟使用0V上電極電壓作為比較用基線,其並未顯示CH強度上的初始尖峰,因為阻劑表面因缺乏與反應性氣體結合的高密度電子暴露而實質上未改變。對於配方A-6,在700V發現較平的CH強度與有利的CH交聯相關聯,其導致阻劑平滑化、較低的LWR、及較小CD/較少阻劑損失,而無類似0V基線配方A-1的阻劑耗損或表面劣化。OES中的CH強度監測被發現是最關鍵的波長,因為如同一般的許多者,此光阻主要由CH分子組成。此電子束處理將阻劑表面處的CH交聯改性,改善其後續的乾式蝕刻抗性,而導致提升的蝕刻指標,包括晶圓範圍的輪廓形狀。
圖5B為相較例如底部蝕刻輪廓CD、線寬粗糙度(LWR)、側壁角度(SWA)、及溝槽深度均勻性(TDU)之結果性蝕刻指標,關聯於圖5A的特定阻劑固化步驟條件之部分期間,正規化CH OES峰值光譜強度之斜率的例示實施例550之圖。對該圖之最佳擬合方程式及斜率係顯示於對應的表中。經發現關聯於增加之阻劑損失的較高程度正斜率或OES光譜強度增加導致蝕刻後較大的底部CD、較低SWA(圓弧狀頂部輪廓)、較粗糙之經改性阻劑表面層(且從而較高的LWR)、及較高的溝槽深度均勻性(經過5-10秒的硬化間隔)。
圖6A-B提供阻劑固化步驟期間的正規化CH OES光譜強度之斜率相對SWA及底部CD的圖。圖6A顯示斜率相對SWA之例示實施例600的圖。圖6B顯示斜率相對底部CD之例示實施例650的圖。經發現經過阻劑固化期間在OES信號強度中的較低CH斜率增加,起因於增加蝕刻抗性(亦即蝕刻選擇性)的固化步驟,導致較少阻劑損耗及隨後的硬遮罩蝕刻前阻劑CD寬度之維持、和關聯於較少阻劑輪廓圓弧化的較高SWA。
圖7為圖4A-B所示之阻劑固化步驟條件期間,針對矽氟化物(SiF)鍵結分子化合物所測量、於440nm之波長下偵測之OES光譜強度位準的例示實施例700之圖。顯示針對五個配方(A-1、A-2、A-4、A-5、A-6)的SiF光譜強度。再一次,關於CH強度圖,具有用於預處理步驟之0V上電極電壓的配方A-1(用作比較基線)未顯示SiF強度上的初始尖峰,因為阻劑表面因缺乏與反應性氣體結合的高密度電子暴露而實質上未改變。經發現配方A-2及A-4因阻劑損失而造成較高LWR及較寬CD。在用於這些配方的固化步驟期間,OES偵測到初始2秒上相對高的SiF最大峰值強度及2秒後之強度上的正斜率。在從0-2秒的初始尖峰之後,亦發現對於配方A-5、及A-6之相對平坦的信號強度導致較低LWR、較少CD放寬、較少阻劑損失、及較直的蝕刻輪廓。
圖8為相較例如底部蝕刻輪廓CD、LWR、SWA、及TDU之結果性蝕刻指標,關聯於圖7的特定阻劑固化步驟條件之部分期間的正規化SiF OES峰值光譜強度之斜率的例示實施例800之圖。關聯於該圖之最佳擬合方程式及斜率係列示於表中。經發現較高程度的正斜率或OES光譜強度增加係關聯於增加之阻劑損失,其導致蝕刻後較大的底部CD、較低SWA、較粗糙之經改性阻劑表面層(且從而較高的LWR)、及較高的溝槽深度均勻性(經過5-10秒的硬化間隔)。再者,SiF亦正好為針對將一層乾式蝕刻至下一層之OES終點控制中所使用的常見波長。大致而言,預期SiF斜率與諸多蝕刻指標的相互關聯性將非完美的相互關聯性,但典型地將OES中的複數波長用於判定蝕刻終點時間,且通常使用SiF,因為其係各式乾式蝕刻製程期間的常見副產物。
圖9A-D提供諸多阻劑固化步驟條件期間的正規化SiF光譜強度之斜率相對結果性蝕刻指標的圖。圖9A為斜率相對底部CD的例示實施例900之圖。圖9B為斜率相對LWR的例示實施例920之圖。圖9C顯示斜率相對側壁角度的例示實施例940之圖。圖9D顯示斜率相對溝槽深度均勻性的例示實施例960之圖。經發現經過阻劑固化期間的較低SiF強度增加導致較少阻劑損耗及隨後的蝕刻前阻劑CD之維持、和具有較少阻劑輪廓圓弧化的較高SWA、較佳的TDU、及具有較LWR之較平滑的阻劑表面。對於此阻劑類型之各式固化條件而言,在所有受測蝕刻指標之中,底部CD對CH及SiF OES強度兩者之斜率為最強的相互關聯因子。因此,此技術對於監測關聯於消耗及/或縮減地產生自阻劑之關鍵副產物非常敏感。
除了CH強度及SiF強度之外,亦針對氟(F)、氧(O)、碳氧(CO)、及碳氮(CN)分子化合物觀測OES光譜強度。如以上所指出,CH及SiF分別在431nm及440nm具有OES光譜峰值。氟在751nm具有OES光譜峰值。氧在777nm具有OES峰值。CO在662nm具有OES峰值。CN之分子在387nm具有OES峰值。其他元素及化合物亦可使用OES光譜偵測加以監測。例如,舉一實施例而言,除了關聯於例如Zr或Ti之奈米晶形硬遮罩或阻劑之外,OES光譜或關聯於光譜線的OES資料還包含對應於選自由N、Ar、Br、CH、C、CN、O、SiF、SiN、及F組成之群組之化學元素或化合物的光譜線。
圖10提供包含OES最大峰值強度相對阻劑固化條件之概要的例示表1000。上述配方A-1、A-2、A-4、A-5、及A-6之電子束固化條件的參數係相關於圖4A-B而列示。經發現配方A-6提供對於所有蝕刻指標最佳的整體阻劑固化條件,且具有與其中施加DCS電壓之阻劑固化步驟的初始階段期間之SiF及CH之OES最大峰值強度最強的相互關聯性。
圖11提供包含對應至相關於圖4A-B所述之配方的阻劑固化參數及相關蝕刻指標之概要的例示表1100。經發現,針對具有更理想之蝕刻指標的配方相對表示具有蝕刻後較高粗糙度及更寬CD之阻劑消耗的配方,在阻劑固化步驟期間明顯獲得不同的OES信號響應。處理步驟的OES監測揭露關聯於即時電子束固化的診斷,包括關聯於產生自阻劑之副產物的趨勢。藉由在固化步驟期間辨識理想OES特徵,對於結果性乾式蝕刻,當之後藉由基於散射測量之計量、CD-SEM及橫剖面SEM(X-SEM)量測時,可符合及/或進一步改善清潔後檢視(after clean inspection, ACI)目標規格。此外,OES終點的實施實現了表面改性效能的多腔室匹配,以改善產線的一致性及循環時間。
進一步注意此說明書各處對於「一實施例」或「實施例」的參照係意指與實施例連結敘述的特定特徵、結構、材料、或特性係包含在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,遍及本文件中多處的詞語「在一實施例中」或「在實施例中」未必指本發明的相同實施例。再者,該特定特徵、結構、材料、或特性可以任何適合的方式於一或更多實施例中組合。諸多額外疊層及/或結構可包含在內,且/或所述特徵可在其他實施例中省略。
此處所使用的「微電子工件」一般係指依據本發明而受處理的物件。微電子工件可包含元件(尤其是半導體或其他電子元件)的任何材料部分或結構,且可例如為基底基板結構(如半導體基板)或基底基板結構上或上方的一層(例如薄膜)。因此,不欲將工件限制在任何特定的基底結構、下方層或上方層、圖案化或未圖案化,反而是設想為包含任何如此之層或基底結構、與層及/或基底結構的任何組合。以下敘述可指諸多類型的基板,但其僅為了說明性的目的且非限制。
此處所使用的用語「基板」意指且包含基底材料或材料形成於其上的構造。吾人將察知,基板可包含單一材料、不同材料的複數層、其中具有不同材料或不同結構之區域的一或複數層等。這些材料可包含半導體、絕緣體、導體、或其組合。舉例而言,基板可為半導體基板、支撐結構上的基底半導體層、金屬電極或其上形成有一或更多層、結構或區域之半導體基板。基板可為習知矽基板或其他包含半導性材料層之塊體基板。如此處所使用,用語「塊體基板」意指且包含不只是矽晶圓,還有絕緣體上矽(silicon-on-insulator, SOI)基板(例如藍寶石上矽(silicon-on-sapphire, SOS)基板及玻璃上矽(silicon-on-glass, SOG)基板)、基底半導體基礎上的磊晶矽層、及其他半導體或光電材料,例如矽鍺、鍺、矽碳化物、鑽石、砷化鎵、及磷化銦。基板可為摻雜的或未摻雜的。
處理微電子工件的系統及方法係敘述於諸多實施例中。熟悉相關技術領域者將察覺諸多實施例可在不具有一或更多特定細節的情況下實施,或以其他替代方案及/或額外方法、材料或部件實施。在其他情形中,不詳細顯示或敘述為人熟知的結構、材料、或操作,以免模糊本發明之諸多實施例的態樣。類似地,為了說明的目的,提出具體數字、材料、及配置以提供對於本發明的透徹理解。然而,本發明可在不具有特定細節的情況下實施。再者,吾人理解圖中顯示的諸多實施例係說明性的示意圖,且未必按比例繪製。
鑑於此敘述內容,所述系統及方法的進一步修改及替代實施例對於熟悉本技術領域者將顯而易見因此,吾人將察覺,所述系統及方法不受限於這些例示配置。應理解此處顯示及敘述之系統及方法的形式應視為例示實施例。諸多改變可在實施方式中做成。因此,雖然本發明於此處係參照特定實施例而敘述,但諸多修改及變更仍可在不背離本發明之範疇的情況下做成。因此,應將說明書及圖式視為說明性而非限制性,且欲使如此之修改包含在本發明的範疇內。再者,此處所述關於特定實施例之任何益處、優點、或對於問題的解決方案皆不意圖被解讀為任何或所有請求項的關鍵性、受到需要、或必須性的特徵或元件。
100‧‧‧實施例102‧‧‧方塊104‧‧‧方塊106‧‧‧方塊150‧‧‧實施例152‧‧‧基板154‧‧‧線結構156‧‧‧底部表面158‧‧‧寬度160‧‧‧邊緣200‧‧‧實施例202‧‧‧方塊204‧‧‧方塊206‧‧‧方塊210‧‧‧實施例212‧‧‧方塊214‧‧‧方塊216‧‧‧方塊218‧‧‧方塊220‧‧‧方塊222‧‧‧方塊224‧‧‧方塊230‧‧‧實施例232‧‧‧方塊234‧‧‧方塊236‧‧‧方塊238‧‧‧方塊240‧‧‧方塊242‧‧‧方塊250‧‧‧實施例252‧‧‧基板254‧‧‧線結構256‧‧‧底部結構258‧‧‧寬度260‧‧‧邊緣270‧‧‧實施例280‧‧‧OES系統300‧‧‧電漿處理裝置301‧‧‧處理腔室302‧‧‧基板312‧‧‧支座320‧‧‧下電極組件333‧‧‧排放路徑335‧‧‧電極338‧‧‧聚焦環組件365‧‧‧電力饋線366‧‧‧匹配單元370‧‧‧上電極組件371‧‧‧內上電極380‧‧‧處理氣體供給系統381A‧‧‧氣體供給管線381B‧‧‧氣體供給管線381C‧‧‧氣體供給管線382‧‧‧氣體注射開口389A‧‧‧緩衝腔室389B‧‧‧緩衝腔室389C‧‧‧緩衝腔室402‧‧‧配方404‧‧‧配方406‧‧‧配方408‧‧‧配方410‧‧‧配方500‧‧‧實施例600‧‧‧實施例650‧‧‧實施例700‧‧‧實施例800‧‧‧實施例900‧‧‧實施例920‧‧‧實施例940‧‧‧實施例960‧‧‧實施例1000‧‧‧表1100‧‧‧表
對於本發明及其優點的更完整理解可藉由參照以下結合隨附圖式的敘述內容而獲得,圖式中類似的參考編號指示類似的特徵。然而應注意,隨附圖式僅顯示所揭概念的例示實施例,且因此不應視為範圍的限制,因為所揭概念可涵蓋其他同等有效的實施例。
圖1A(先前技術)為表面改性製程的例示實施例之流程圖,該表面改性製程包含例如使用光學發射光譜學(OES)終點控制之蝕刻製程。
圖1B(先前技術) 為圖案化結構的例示實施例,該圖案化結構包含使用圖1A(先前技術)之步驟在用於微電子工件的基板上形成的線結構及相關蝕刻指標。
圖2A為製程的例示實施例之流程圖,該製程包含蝕刻製程及/或沉積製程,其中在表面改性製程期間使用OES監測以在後續的蝕刻/沉積製程後改善圖案化結構的指標。
圖2B為圖案化結構的例示實施例,該圖案化結構包含使用圖2A之步驟在用於微電子工件的基板上形成的線結構及相關蝕刻指標。
圖2C為由OES系統監測之電漿處理系統的例示實施例之方塊圖。
圖2D為製程之例示實施例的方塊圖,其中相關於針對形成在基板上之疊層的表面改性製程使用OES監測。
圖2E為製程之例示實施例的方塊圖,其中OES監測係用以監測、修改、及/或控制電子束處理。
圖3為例如電漿處理系統之工件製造系統的例示實施例圖,該工件製造系統可用以實施此處所述處理技術。
圖4A-B基於其中在表面改性製程期間使用OES監測之標準記錄製程(process of record, POR)提供用於例示產線後段(BEOL)溝槽製程修改的例示配方實施例。
圖5A為圖4A-B所示配方之阻劑固化步驟期間針對碳-氫(CH)分子化合物所測量、於431奈米(nm)之波長下偵測之OES光譜強度位準的例示實施例圖。
圖5B為相較例如底部蝕刻輪廓CD、線寬粗糙度(LWR)、側壁角度(SWA)、及溝槽深度均勻性(TDU)之結果性蝕刻指標,關聯於圖5A的特定阻劑固化步驟條件之2秒部分後的正規化CH OES峰值光譜強度之斜率的例示實施例圖。
圖6A-B提供特定阻劑固化步驟條件之2秒部分後的正規化CH OES光譜強度之斜率相對SWA及底部CD的圖。
圖7為圖4A-B所示之阻劑固化步驟期間針對矽氟化物(SiF)鍵結分子化合物所測量、於440nm之波長下偵測之OES光譜強度位準的例示實施例圖。
圖8為相較例如底部蝕刻輪廓CD、LWR、SWA、及TDU之結果性蝕刻指標,關聯於圖7的特定阻劑固化步驟條件之2秒部分後的正規化SiF OES峰值光譜強度之斜率的例示實施例圖。
圖9A-D提供特定阻劑固化步驟條件之2秒部分後的正規化SiF OES光譜強度之斜率相對結果性蝕刻指標的圖。
圖10提供包含OES最大峰值強度相對阻劑固化條件之概要的例示表,其指出起因於減少之電子束進入阻劑中之穿透深度的對於較低施加DCS電壓之較低最大峰值CH及CN強度。
圖11提供包含對應至相關於圖4A-B所述之配方的阻劑固化參數及相關蝕刻指標之概要的表。
210‧‧‧實施例
212‧‧‧方塊
214‧‧‧方塊
216‧‧‧方塊
218‧‧‧方塊
220‧‧‧方塊
222‧‧‧方塊
224‧‧‧方塊

Claims (38)

  1. 一種基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,該方法包含:將一表面改性氣體流至一電漿處理系統之一電漿處理腔室中;點燃該電漿處理腔室中的電漿,以使用於形成在一基板上之一光阻層的一表面改性製程開始,該表面改性製程包含一光阻硬化步驟;在該光阻層的該表面改性製程期間,從附接至該電漿處理腔室的一光學發射光譜學系統獲取光學發射光譜;基於所獲取的該光學發射光譜改變一暴露配方的至少一參數,該暴露配方係施加至該光阻硬化步驟;及使用經改變的該暴露配方在形成於該基板上的該光阻層上重複該光阻硬化步驟。
  2. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該電漿處理系統係一電漿蝕刻系統,且其中該表面改性製程發生在一或更多蝕刻製程之前或之後。
  3. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該電漿處理系統係具有沉積及/或蝕刻能力的電漿系統,且其中該表面改性製程發生在一或更多蝕刻或沉積製程之前或之後。
  4. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中來自所獲取之該光學發射光譜的資料係用以判定該表面改性製程的一終點。
  5. 如申請專利範圍第4項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,更包含基於該光學發射光譜,使該表面改性製程停止在一經決定終點時間。
  6. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中來自所獲取之該光學發射光譜的資料包含一光譜線之強度、一光譜線之斜率、或兩者。
  7. 如申請專利範圍第6項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該光譜線為對應至選自由下列者組成之群組的化學元素或化合物之光譜線:N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及F。
  8. 如申請專利範圍第6項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該光譜線為對應至包含N、Ar、Br、CH、C、CN、O、SiF、SiN、CO、Ti、Zr及F其中一或更多者的化學元素或化合物之光譜線。
  9. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,更包含基於所獲取的該光學發射光譜,改變該表面改性製程的至少一參數。
  10. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該表面改性製程的該至少一參數係選自由下列者組成的群組:供給至該電漿處理腔室之RF或微波功率、RF或微波功率脈衝頻率、RF或微波脈衝工作週期、基板溫度、供給至該電漿處理腔室中之一基板固持器的RF功率、該基板固持器的DC偏壓、供給至鄰近該基板固持器而配置之至 少一電極的DC偏壓電壓、氣體流率、氣體壓力、表面改性氣體流、表面改性氣體壓力、及該表面改性製程的持續時間。
  11. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該表面改性製程的該至少一參數包含下列之一或更多者:供給至該電漿處理腔室之RF或微波功率、RF或微波功率脈衝頻率、RF或微波脈衝工作週期、基板溫度、供給至該電漿處理腔室中之一基板固持器的RF功率、該基板固持器的DC偏壓、供給至鄰近該基板固持器而配置之至少一電極的DC偏壓電壓、氣體流率、氣體壓力、表面改性氣體流、表面改性氣體壓力、及該表面改性製程的持續時間。
  12. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中執行改變該表面改性製程之該至少一參數的步驟,以使該表面改性製程的持續時間最小化。
  13. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中執行改變該表面改性製程之該至少一參數的步驟,以改善在該表面改性製程後之一電漿蝕刻或沉積製程期間形成的一圖案之物理性質、幾何特性、或兩者。
  14. 如申請專利範圍第13項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該物理性質包含密度或濕式蝕刻抗性的至少一者,且其中該幾何特性包含線寬粗糙度(LWR)或線邊緣粗糙度(LER)的至少一者。
  15. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中執行改變該表面改性製程之該至少一參數的步 驟,以使在該表面改性製程後之一蝕刻或沉積製程期間形成的一圖案之均勻性指標最大化。
  16. 如申請專利範圍第15項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該均勻性指標係選自由臨界尺寸(CD)均勻性、側壁角度(SWA)均勻性、及溝槽深度均勻性(TDU)組成的群組。
  17. 如申請專利範圍第15項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該均勻性指標包含臨界尺寸(CD)均勻性、側壁角度(SWA)均勻性、及溝槽深度均勻性(TDU)其中一或更多者。
  18. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中執行改變該表面改性製程之該至少一參數的步驟,以使在後續受處理之產品基板或後續受處理之產品基板批量的蝕刻製程期間形成的一圖案之均勻性指標最大化。
  19. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中執行改變該表面改性製程之該至少一參數的步驟,以改變暴露至該表面改性製程的一材料之物理性質、幾何性質、電性質、化學性質、或機械性質。
  20. 如申請專利範圍第19項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中性質係選自由下列者組成的群組:蝕刻寬度臨界尺寸(CD)、彎曲、傾斜、扭轉、蝕刻選擇性、側壁角度(SWA)、蝕刻深度、表面覆蓋率、階梯覆蓋率、疊層厚度、疊層密度、疊層組成、疊層平滑度、及疊層硬度。
  21. 如申請專利範圍第19項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中性質包含蝕刻寬度臨界尺寸(CD)、彎曲、傾斜、扭轉、蝕刻選擇性、側壁角度(SWA)、蝕刻深度、表面覆蓋率、階梯覆蓋率、疊層厚度、疊層密度、疊層組成、疊層平滑度、及疊層硬度的其中一或更多者。
  22. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中執行改變該表面改性製程之該至少一參數的步驟,以改變後續受處理之產品基板或後續受處理之產品基板批量中的一疊層之物理性質、幾何性質、電性質、或機械性質。
  23. 如申請專利範圍第9項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中改變該表面改性製程之該至少一參數的步驟係原位執行。
  24. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該表面改性氣體包含氫、溴化氫、氮、碳、氯、硫、氬、氦、氧、或其二或更多者的組合。
  25. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中形成於該基板上之該疊層包含選自由下列者組成之群組的材料:光阻、旋塗介電材料、原子或化學氣相沉積之介電材料、低k介電材料、高k介電材料、傳導性材料、或其二或更多者的組合。
  26. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中形成於該基板上之該疊層包括含有下列之一或更多者的材料:光阻、旋塗介電材料、原子或化學氣相沉積之介電材料、低k介電材料、高k介電材料、傳導性材料、或其二或更多者的組合。
  27. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中形成於該基板上之該疊層包含一化學放大阻劑(CAR),且該方法更包含基於所獲取的該光學發射光譜,改變該表面改性製程的至少一參數,以減少該CAR的圖案崩塌可能性。
  28. 如申請專利範圍第27項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該CAR包含基於ArF的乾式或浸漬阻劑。
  29. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中形成於該基板上之該疊層包含和極紫外(EUV)光微影一起使用的阻劑。
  30. 如申請專利範圍第29項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中用於EUV光微影的阻劑包含選自由下列者組成之群組的材料:金屬硬遮罩(MHM)層、奈米晶形金屬氧化物MHM層、及包含以上之層之組合的MHM堆疊。
  31. 如申請專利範圍第29項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中用於EUV光微影的阻劑包含金屬硬遮罩(MHM)層、奈米晶形金屬氧化物MHM層、及包含以上之層之組合的MHM堆疊的其中一或更多者。
  32. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該表面改性製程包含基於電子束或紫外光暴露的表面改性製程。
  33. 如申請專利範圍第32項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中電子束暴露之表面改性製程包含施加一直流(DC)疊加電壓至該電漿處理腔室內的一電極。
  34. 如申請專利範圍第32項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中電子束暴露之表面改性製程促進該光阻層的固化或硬化。
  35. 如申請專利範圍第32項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中使電子束之電壓或能量及暴露時間增加,俾使針對該疊層將該疊層的一塊體改性。
  36. 如申請專利範圍第1項之基板上形成之疊層的電漿輔助表面改性製程的監測及控制方法,其中該表面改性製程包含表面硬化步驟、形成於該基板上之該疊層的固化、或用於殘餘物去除之除渣步驟其中至少一者。
  37. 一種電漿處理工具中之表面改性製程的監測及控制方法,包含:將一表面改性氣體流至一電漿處理系統之一電漿處理腔室中;點燃該電漿處理腔室中的電漿,以使用於形成在一基板上之一光阻層的一表面改性製程開始,該表面改性製程包含一光阻硬化步驟;及在用於該光阻層之該表面改性製程期間,使用一監測系統監測該電漿處理腔室中的該電漿;基於所監測的該電漿改變一暴露配方的至少一參數,該暴露配方係施加至該光阻硬化步驟;及使用經改變的該暴露配方在形成於該基板上的該光阻層上重複該光阻硬化步驟, 其中該監測系統包含光學發射光譜學系統、雷射誘發螢光系統、雷射干涉系統、雷射光譜學系統、質譜系統、拉曼光譜學系統、殘餘氣體分析儀系統(RGA)、或傅立葉轉換紅外光(FTIR)系統其中至少一者。
  38. 如申請專利範圍第37項之電漿處理工具中之表面改性製程的監測及控制方法,其中該表面改性製程包含基於電子束或紫外光暴露的表面改性製程。
TW107108741A 2017-03-17 2018-03-15 用於蝕刻指標提升之表面改性控制 TWI766964B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762473193P 2017-03-17 2017-03-17
US62/473,193 2017-03-17

Publications (2)

Publication Number Publication Date
TW201844063A TW201844063A (zh) 2018-12-16
TWI766964B true TWI766964B (zh) 2022-06-11

Family

ID=63519524

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107108741A TWI766964B (zh) 2017-03-17 2018-03-15 用於蝕刻指標提升之表面改性控制

Country Status (7)

Country Link
US (1) US10446453B2 (zh)
JP (1) JP2020515063A (zh)
KR (1) KR20190121864A (zh)
CN (1) CN110431655A (zh)
SG (1) SG11201908533PA (zh)
TW (1) TWI766964B (zh)
WO (1) WO2018170010A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10886136B2 (en) 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
KR20210117348A (ko) * 2019-02-13 2021-09-28 램 리써치 코포레이션 반도체 프로세싱에서 이상 플라즈마 이벤트 (anomalous plasma event) 검출 및 완화
CN113678228A (zh) * 2019-03-25 2021-11-19 Atonarp株式会社 气体分析装置
CN111474822B (zh) * 2020-05-19 2021-09-17 中国科学院光电技术研究所 一种基于三维光刻胶掩膜快速修正光学基底均匀性的方法
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
KR20220030439A (ko) * 2020-08-31 2022-03-11 삼성전자주식회사 반도체 장치 제조 공정의 모니터링 방법 및 이를 포함하는 반도체 장치의 제조 방법
US20220102122A1 (en) * 2020-09-30 2022-03-31 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022086144A (ja) * 2020-11-30 2022-06-09 東京エレクトロン株式会社 チャンバーコンディションの診断方法
KR102574604B1 (ko) 2020-12-16 2023-09-06 주식회사 이엘 반도체/디스플레이 플라즈마 화학증착공정 모니터링 전용 실시간 온도편차 보정 발광분광분석시스템
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JP7320554B2 (ja) * 2021-04-27 2023-08-03 株式会社アルバック エッチング方法
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN114093785B (zh) * 2022-01-10 2022-04-22 广州粤芯半导体技术有限公司 用于监控微刻蚀风险的衬底结构及监控方法
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703250B2 (en) * 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
TW201516412A (zh) * 2013-07-05 2015-05-01 Univ Washington Ct Commerciali 用於微流體分析之方法、組合物及系統
TW201633853A (zh) * 2014-10-23 2016-09-16 蘭姆研究公司 電漿處理系統中之射頻功率補償用系統、方法及設備

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (zh) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5014217A (en) 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
JPH08232087A (ja) 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
US5648198A (en) 1994-12-13 1997-07-15 Kabushiki Kaisha Toshiba Resist hardening process having improved thermal stability
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
TW439143B (en) 1999-03-01 2001-06-07 United Microelectronics Corp Method to prevent first-wafer effect
US6564114B1 (en) 1999-09-08 2003-05-13 Advanced Micro Devices, Inc. Determining endpoint in etching processes using real-time principal components analysis of optical emission spectra
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
US20030005943A1 (en) 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
US20040235303A1 (en) 2001-05-04 2004-11-25 Lam Research Corporation Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US20040058359A1 (en) 2002-05-29 2004-03-25 Lin Mei Erbin as a negative regulator of Ras-Raf-Erk signaling
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
AU2003262768A1 (en) 2002-09-30 2004-04-23 Tokyo Electron Limited Apparatus and method for use of optical system with plasma proc essing system
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US20060006139A1 (en) 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7312865B2 (en) 2004-03-31 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for in situ monitoring of chamber peeling
US7959970B2 (en) 2004-03-31 2011-06-14 Tokyo Electron Limited System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US20050241669A1 (en) 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
CN100587902C (zh) 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
US7964039B2 (en) 2007-09-07 2011-06-21 Imec Cleaning of plasma chamber walls using noble gas cleaning step
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US8158017B2 (en) 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
IE20090628A1 (en) 2009-08-17 2011-03-30 Lexas Res Ltd Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface or substrate.
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
WO2011063407A2 (en) 2009-11-23 2011-05-26 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
KR20120004190A (ko) 2010-07-06 2012-01-12 삼성전자주식회사 반도체 제조장치의 세정방법
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
KR20120126418A (ko) 2011-05-11 2012-11-21 (주)쎄미시스코 플라즈마 모니터링 시스템
US20130016344A1 (en) 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
KR101780874B1 (ko) * 2012-10-17 2017-09-21 도쿄엘렉트론가부시키가이샤 다변량 분석을 이용한 플라즈마 에칭 종료점 검출
CN103117202B (zh) * 2013-02-19 2015-09-09 中微半导体设备(上海)有限公司 等离子体处理工艺的终点检测装置及方法
JP6236942B2 (ja) * 2013-07-10 2017-11-29 富士通株式会社 配管接続構造、冷却システム、及び、電子機器
US9760008B2 (en) * 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US9200950B2 (en) 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703250B2 (en) * 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
TW201516412A (zh) * 2013-07-05 2015-05-01 Univ Washington Ct Commerciali 用於微流體分析之方法、組合物及系統
TW201633853A (zh) * 2014-10-23 2016-09-16 蘭姆研究公司 電漿處理系統中之射頻功率補償用系統、方法及設備

Also Published As

Publication number Publication date
WO2018170010A1 (en) 2018-09-20
JP2020515063A (ja) 2020-05-21
TW201844063A (zh) 2018-12-16
SG11201908533PA (en) 2019-10-30
US20180269119A1 (en) 2018-09-20
CN110431655A (zh) 2019-11-08
KR20190121864A (ko) 2019-10-28
US10446453B2 (en) 2019-10-15

Similar Documents

Publication Publication Date Title
TWI766964B (zh) 用於蝕刻指標提升之表面改性控制
US10373822B2 (en) Gas flow profile modulated control of overlay in plasma CVD films
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
TWI626686B (zh) 用於半導體製造之內部電漿格柵應用
US10304668B2 (en) Localized process control using a plasma system
US7504040B2 (en) Plasma processing apparatus and plasma processing method
KR100808694B1 (ko) 포토마스크 제조의 프로세스 통합을 위한 클러스터 툴 및 방법
EP3038142A1 (en) Selective nitride etch
JP2014239210A (ja) 半導体製造用の内部プラズマグリッド
TWI508162B (zh) Plasma processing methods and computer readable memory media
KR20160102356A (ko) 10nm 이하의 패터닝을 달성하기 위한 물질 처리
JP2015057854A (ja) プラズマ処理方法
KR20180113585A (ko) 통합 계획의 다양한 스테이지 동안의 패터닝을 위한 트림 방법
JP2016066801A (ja) プラズマ処理方法
TW202201536A (zh) 利用氯之高深寬比介電質蝕刻
CN115380365A (zh) 具有无穷大选择性的高深宽比蚀刻
US20040018741A1 (en) Method For Enhancing Critical Dimension Uniformity After Etch
US20200328089A1 (en) Substrate processing method and substrate processing apparatus
Lee et al. Pulse-Biased Etching of Si3N4 Layer in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Resist Structures
Kaler Etching of Si and SiNx by Beams Emanating from Inductively Coupled CH3F/O2 and CH3F/CO2 Plasmas