CN115380365A - 具有无穷大选择性的高深宽比蚀刻 - Google Patents
具有无穷大选择性的高深宽比蚀刻 Download PDFInfo
- Publication number
- CN115380365A CN115380365A CN202180028241.5A CN202180028241A CN115380365A CN 115380365 A CN115380365 A CN 115380365A CN 202180028241 A CN202180028241 A CN 202180028241A CN 115380365 A CN115380365 A CN 115380365A
- Authority
- CN
- China
- Prior art keywords
- mask
- substrate
- plasma
- protection layer
- reaction chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 claims abstract description 114
- 239000000463 material Substances 0.000 claims abstract description 102
- 239000000758 substrate Substances 0.000 claims abstract description 97
- 238000005530 etching Methods 0.000 claims abstract description 96
- 239000003989 dielectric material Substances 0.000 claims abstract description 30
- 230000008021 deposition Effects 0.000 claims abstract description 25
- 238000012545 processing Methods 0.000 claims abstract description 25
- 239000000376 reactant Substances 0.000 claims description 46
- 238000006243 chemical reaction Methods 0.000 claims description 37
- 239000000203 mixture Substances 0.000 claims description 34
- 238000000151 deposition Methods 0.000 claims description 28
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 25
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 23
- 229910052736 halogen Inorganic materials 0.000 claims description 19
- -1 fluorine halogen Chemical class 0.000 claims description 16
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 15
- 229910052731 fluorine Inorganic materials 0.000 claims description 15
- 239000011737 fluorine Substances 0.000 claims description 15
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 15
- 229920000642 polymer Polymers 0.000 claims description 13
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 11
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 11
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 10
- 229910018503 SF6 Inorganic materials 0.000 claims description 10
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 claims description 10
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 10
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 10
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 10
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 10
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 9
- 229920005591 polysilicon Polymers 0.000 claims description 9
- 239000000460 chlorine Substances 0.000 claims description 8
- 150000002500 ions Chemical class 0.000 claims description 8
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 claims description 7
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 6
- 239000000654 additive Substances 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- 229910052740 iodine Inorganic materials 0.000 claims description 6
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 claims description 5
- 239000004341 Octafluorocyclobutane Substances 0.000 claims description 5
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 5
- 150000002367 halogens Chemical class 0.000 claims description 5
- 150000002431 hydrogen Chemical class 0.000 claims description 5
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 claims description 5
- 235000019407 octafluorocyclobutane Nutrition 0.000 claims description 5
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 claims description 5
- 229960004065 perflutren Drugs 0.000 claims description 5
- 150000001875 compounds Chemical class 0.000 claims description 4
- 230000003628 erosive effect Effects 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 129
- 230000008569 process Effects 0.000 description 57
- 210000002381 plasma Anatomy 0.000 description 45
- 235000012431 wafers Nutrition 0.000 description 20
- 239000004065 semiconductor Substances 0.000 description 18
- 238000004519 manufacturing process Methods 0.000 description 11
- 230000007246 mechanism Effects 0.000 description 9
- 150000003254 radicals Chemical class 0.000 description 7
- 230000008901 benefit Effects 0.000 description 6
- 238000007796 conventional method Methods 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 230000033001 locomotion Effects 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 230000001681 protective effect Effects 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910003481 amorphous carbon Inorganic materials 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 230000007935 neutral effect Effects 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000011241 protective layer Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 101000777624 Homo sapiens Hsp90 co-chaperone Cdc37-like 1 Proteins 0.000 description 1
- 102100031587 Hsp90 co-chaperone Cdc37-like 1 Human genes 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- ZXQYGBMAQZUVMI-GCMPRSNUSA-N gamma-cyhalothrin Chemical compound CC1(C)[C@@H](\C=C(/Cl)C(F)(F)F)[C@H]1C(=O)O[C@H](C#N)C1=CC=CC(OC=2C=CC=CC=2)=C1 ZXQYGBMAQZUVMI-GCMPRSNUSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- UAJUXJSXCLUTNU-UHFFFAOYSA-N pranlukast Chemical compound C=1C=C(OCCCCC=2C=CC=CC=2)C=CC=1C(=O)NC(C=1)=CC=C(C(C=2)=O)C=1OC=2C=1N=NNN=1 UAJUXJSXCLUTNU-UHFFFAOYSA-N 0.000 description 1
- 229960004583 pranlukast Drugs 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000011541 reaction mixture Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/18—Vacuum control means
- H01J2237/182—Obtaining or maintaining desired pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2001—Maintaining constant desired temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3322—Problems associated with coating
- H01J2237/3327—Coating high aspect ratio workpieces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
- H01J2237/3346—Selectivity
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本文提供了用于通过以下方式处理衬底的方法和装置:将衬底暴露于等离子体以同时(i)蚀刻下伏材料(例如,其包括一种或多种介电材料)中的特征,以及(ii)在位于介电材料上方的掩模上沉积上部掩模保护层,其中上部掩模保护层以选择性竖直定向方向沉积的方式形成在掩模的顶部。这种方法和装置可用于实现无穷大的蚀刻选择性,甚至在蚀刻高深宽比特征时也是如此。
Description
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
在制造半导体器件期间经常采用的一种工艺是在介电材料中形成蚀刻圆筒体或者其他凹陷特征。可以进行这种工艺的示例上下文包括但不限于诸如DRAM和3D NAND结构之类的存储器应用。随着半导体工业的进步和器件尺寸变小,这种特征变得越来越难以以均匀的方式蚀刻,特别是对于具有窄宽度和/或深的深度的高深宽比特征。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
这里描述的是用于处理衬底的方法和装置。特别是,这里的实施方案涉及蚀刻衬底,同时沉积在选择性竖直定向方向沉积中形成的上部掩模保护层。
在本文实施方案的一个方面,提供了一种处理衬底的方法,所述衬底包括介电材料和掩模,其中所述介电材料包括至少一个氧化硅层,并且其中所述掩模被定位在所述介电材料上,并被图案化以限定在所述介电材料中将蚀刻出特征的位置,所述方法包括:(a)在反应室中生成等离子体;以及(b)将所述衬底暴露于在所述反应室的所述等离子体中,以同时(i)蚀刻所述介电材料中的所述特征,以及(ii)在所述掩模上沉积上部掩模保护层,其中所述上部掩模保护层以选择性竖直定向方向沉积的方式形成在所述掩模的顶部。
在多种实施方案中,掩模在蚀刻过程中不被消耗,使得关于所述介电材料的蚀刻选择性相比于所述掩模是无穷大的。在多种实施方案中,所述上部掩模保护层在蚀刻过程中保护所述掩模不受腐蚀,从而关于所述介电材料的蚀刻选择性与所述掩模相比是无穷大的。所述上部掩模保护层可以有几种成分中的一种。在某些情况下,所述上部掩模保护层包括石墨型富碳聚合物。在这些或其他情况下,所述上部掩模保护层可以包括CxBryFz基材料、CxClyFz基材料、CxIyFz基材料或其组合。
生成等离子体可以包括使反应物混合物流入所述反应室并由所述反应物混合物生成所述等离子体。在多种实施方案中,所述反应物混合物可以包括(1)氢气(H2)以及(2)选自由氟甲烷(CH3F)、二氟甲烷(CH2F2)和三氟甲烷(CHF3)组成的群组的至少一种反应物。在这些或其他实施方案中,所述反应物混合物还可以包含非氟卤素源。所述非氟卤素源可以包括选自由HBr、Cl2、SiCl4和CF3I组成的群组的一种或更多种反应物。在这些或其他实施方案中,所述反应物混合物还可以包括来自由三氟化氮(NF3)、六氟丁二烯(C4F6)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、六氟化硫(SF6)、四氟甲烷(CF4)和甲烷(CH4)组成的群组的一种或多种添加剂。
在某些情况下,可以使用特定的反应条件。例如,在(b)期间,所述反应室内的压强可以保持在约10-80mT之间。在这些或其他实施方案中,在(b)期间,所述衬底可以被支撑在衬底保持器上,该保持器的温度保持在约0℃和-100℃之间。在这些或其他实施方案中,在(b)期间,所述衬底的表面的离子能量可以在约1-10千伏之间。在这些或其他实施方案中,在(b)期间,用于生成所述等离子体的RF能量可以在介于约3-50千瓦之间的功率电平下脉冲化。在这些或其他实施方案中,所述等离子体可以是电容耦合等离子体。
在多种实施方案中,掩模可以有特定的厚度。在一个示例中,在所述上部掩模保护层形成于所述掩模的顶部上之前,所述掩模的厚度为约3500纳米或更小。在这些或其他实施方案中,在(b)中蚀刻的所述特征可以具有约20或更大的深度:宽度的深宽比,并且具有约100纳米或更大的最终深度。在一些情况下,(b)中蚀刻的所述特征包括凹陷的圆筒体。在某些情况下,(b)中蚀刻的所述特征包括凹陷的沟槽。在一些情况下,(b)中蚀刻的所述特征包括凹陷的圆筒体和凹陷的沟槽中的至少一个。
在多种实施方案中,所述选择性竖直定向方向沉积导致在存在所述掩模的区域形成所述上部掩模保护层,而不在所述介电材料中蚀刻出所述特征的位置上方形成上部掩模保护层。
介电材料可以根据应用情况以多种不同的形式提供。在一个示例中,介电材料包括至少一个氧化硅层和至少一个氮化硅层。氧化硅和氮化硅可以以交替层的形式提供。这种结构可以被称为ONON堆叠件,并且在某些实现方案中形成3D NAND设备时可以使用。在其他情况下,氧化硅可以被夹在两个或多个氮化硅层之间。这种结构可在形成DRAM设备时使用。在其他一些情况下,介电材料可以提供作为与多晶硅层交替的氧化硅层。根据某些实施方案,这种结构可在形成3DNAND设备时使用。
在本文实施方案的另一个方面,提供了一种用于处理衬底的装置,该装置包括:(a)反应室;(b)设置在所述反应室中的衬底支撑件;(c)被配置为在所述反应室中生成等离子体的等离子体发生器;(d)通向所述反应室的一个或多个入口;以及(e)具有至少一个处理器和存储器的控制器,其中该至少一个处理器和存储器彼此通信地连接,并且该存储器存储计算机可执行指令,该计算机可执行指令用于控制至少一个处理器以导致:(i)将衬底定位在反应室中;(ii)在反应室中生成等离子体;以及(iii)将衬底暴露在反应室中的等离子体中,以同时(i)在衬底上的介电材料中蚀刻特征,以及(ii)在定位在介电材料上的掩模上沉积上部掩模保护层,其中上部掩模保护层以选择性的竖直定向方向沉积的方式形成在掩模的顶部上。
在一些实施方案中,所述等离子体发生器可以被配置为生成电容耦合等离子体。在多种情况下,所述控制器可以被配置为导致(e)(ii)使得所述上部掩模保护层包括石墨型富碳聚合物。在这些或其他实施方案中,所述上部掩模保护层可以包括CxBryFz基材料、CxClyFz基材料、CxIyFz基材料或其组合。在这些或其他情况下,所述控制器可以被配置为通过使反应物混合物流入反应室并使所述等离子体由所述反应物混合物生成而导致(e)(ii),所述反应物混合物包括:(1)氢气(H2)以及(2)选自由氟甲烷(CH3F)、二氟甲烷(CH2F2)和三氟甲烷(CHF3)组成的群组的至少一种反应物。在这些或其他实施方案中,所述反应物混合物还可以包含非氟卤素源。所述非氟卤素源可以包括选自由HBr、Cl2、SiCl4和CF3I组成的群组的至少一种反应物。在这些或其他实施方案中,所述反应物混合物还可以包括来自由三氟化氮(NF3)、六氟丁二烯(C4F6)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、六氟化硫(SF6)、四氟甲烷(CF4)和甲烷(CH4)组成的群组的一种或多种添加剂。
下面将参照附图进一步描述这些和其他方面。
附图说明
图1A-1C描述了经历蚀刻工艺的半导体衬底,其说明了在传统的蚀刻方法中出现的常见的掩模腐蚀问题。
图2A-2C描述了经历根据本文的实施方案的蚀刻工艺的半导体衬底,其中在蚀刻期间,在掩模层上沉积上部掩模保护层。
图3描绘了描述根据本文描述的各种实施方案的蚀刻衬底的方法的流程图。
图4A-4C显示了根据某些实施方案的蚀刻反应器。
图5A-5C显示了实验结果,其中图5A说明了蚀刻前的衬底,图5B说明了用传统方法蚀刻后的衬底,图5C说明了用本文所述方法蚀刻后的衬底。
具体实施方式
在下面的说明中,许多具体细节被阐述,以便提供对所呈现的实施方案的彻底理解。公开的实施方案可以在没有部分或全部这些具体细节下实施。在其它情况下,公知的工艺操作没有进行详细说明,以避免不必要地使本公开的实施方案难以理解。虽然所公开的实施方案将结合具体的实施例进行说明,但应理解,并不意在限制本公开的实施方案。
I.用于在介电材料中蚀刻高深宽比特征的技术
某些半导体器件的制造涉及将特征蚀刻到被提供在衬底上的一种或者多种介电材料中。介电材料可以是单层材料或材料叠层。在一些情况下,堆叠件包括交替的介电材料(例如,氮化硅和氧化硅、或氧化硅和多晶硅)层。一个示例性蚀刻特征是圆筒体,其可以具有高深宽比。另一示例性蚀刻特征是沟槽,其可以类似地具有高的深宽比。随着这些特征的深宽比继续增加,将特征蚀刻到介电材料中越来越具有挑战性。
为了在衬底上蚀刻高深宽比的特征,首先要按照特定应用的要求来准备衬底。这可能涉及到在衬底上沉积一层或多层介电材料,如下文所述。这些电介质层包括将在其中蚀刻出特征的(一或多)层。在介电材料沉积在衬底上后,沉积掩模层,然后在衬底上将其图案化。图案化的掩模层用于限定在衬底上蚀刻特征的位置。值得注意的是,特征将被蚀刻在掩模层被移除的区域。相比之下,在蚀刻过程中,保留掩模的区域将受到保护。
图1A-1C共同说明了在蚀刻高深宽比特征时可能出现的一个挑战。图1A描述了蚀刻前的衬底100。衬底100有下伏材料102和在其上面的掩模104。下伏材料102可以包括一个或多个介电材料层,如氧化硅和/或氮化硅层。在一个特定的示例中,下伏材料102包括交替的氧化硅和氮化硅层,如下文进一步讨论的。在另一特定的示例中,下伏材料102包括交替的氧化硅和多晶硅层。在某些情况下,也可以存在其他层和/或结构。掩模104可以是可灰化的硬掩模材料,例如非晶碳。如图1A所示,掩模104已被图案化。图1B说明了在特征106被蚀刻到下伏材料102时的衬底100。如上所述,特征106形成于没有掩模104的区域。然而,由于蚀刻条件的严重性,掩模104在蚀刻过程中被大量腐蚀。因此,图1B中的掩模104明显比图1A中的掩模104薄。图1C说明了蚀刻(或与图1B相比,在蚀刻过程中更进一步)之后的衬底100。这里,掩模104已经完全被腐蚀掉了。在这一点上,很难或不可能将特征106蚀刻得更深,因为在掩模104被腐蚀掉之后,下伏材料102的顶部部分暴露在蚀刻条件下。因此,进一步的蚀刻会同时腐蚀特征106的底部和下伏材料102的顶部/暴露部分,防止特征106变得更深。
与图1A-1C相关地描述的问题与蚀刻选择性有关。蚀刻选择性涉及到一些材料比其他材料蚀刻得更快的事实。在图1A-1C的背景中,希望下伏材料102与掩模104相比被选择性地蚀刻。换句话说,希望下伏材料102的蚀刻速度比掩模104快。
对于特定的蚀刻工艺和成组材料的蚀刻选择性可以用数字定义为:(蚀刻穿过材料A的厚度)/(蚀刻穿过材料B的厚度)。例如,导致蚀刻2微米的下伏材料和0.5微米的掩模的蚀刻工艺可以理解为蚀刻选择性为4(例如,2微米/0.5微米=4),这也可以表示为蚀刻选择性为4:1。当蚀刻选择性不够高时,在特征达到所需的最终深度之前,掩模层就会被腐蚀掉。
在蚀刻高深宽比特征期间出现的另一个问题是不均匀的蚀刻轮廓。换句话说,这些特征不会沿直线向下的或竖直的方向蚀刻。相反,特征的侧壁通常翘曲,使得蚀刻特征的中间部分比特征的顶部和/或底部更宽(即,进一步横向蚀刻)。在特征的中间部分附近的这种过度蚀刻可能导致剩余材料的结构和/或电子完整性受损。特征的向外翘曲的部分会占据总特征深度的相对小的部分,或相对较大的部分。特征的向外翘曲的部分是特征的关键尺寸最大的位置。通常希望特征的最大CD与特征中的其他位置(例如在特征的底部或附近)的CD大致相同。不幸的是,即使在深宽比低至约5的情况下也能看到翘曲的形成。
由于这些和其他的限制,因此传统的蚀刻方法在实践中被限制在形成相对低的深宽比特征。然而,一些现代应用要求圆筒体或其他凹陷特征具有比传统技术所能实现的更高的深宽比。
II.背景和应用
在本文的各种实施方案中,在表面上具有介电材料的衬底(通常是半导体晶片)中蚀刻特征。蚀刻工艺通常是基于等离子体的蚀刻工艺。
特征是衬底表面中的凹部。特征可以具有许多不同的形状,包括但不限于圆筒形、椭圆形、矩形、正方形、其他多边形凹部、沟槽等。
深宽比是特征的深度与特征的关键尺寸(通常是其宽度或直径)的比较。例如,深度为2μm且宽度为50nm的圆筒体具有40:1的深宽比,通常更简单地表示为40。因为该特征可能在特征的深度上具有不均匀的关键尺寸,所以深宽比可以根据测量的位置不同而变化。例如,有时蚀刻的圆筒体可以具有比顶部和底部宽的中间部分。如上所述,该较宽的中间区段可称为翘曲。基于圆筒体顶部(即颈部)的关键尺寸测得的深宽比将大于基于圆筒体的较宽中间/翘曲处的关键尺寸测得的深宽比。如本文所使用的,深宽比是基于靠近特征开口的关键尺寸来测得的,除非另有说明。
通过所公开的方法形成的特征可以是高深宽比特征。在一些应用中,高深宽比特征是具有至少约5,至少约10,至少约20,至少约30,至少约40,至少约50,至少约60,至少约80,或至少约100的深宽比的特征。通过所公开的方法形成的特征的关键尺寸可以是约200nm或更小,例如约100nm或更小,约50nm或更小,或约20nm或更小。
在各种情况下,特征蚀刻到其中的下伏材料可以包括介电材料。示例性的材料包括但不限于氧化硅、氮化硅、碳化硅、硅碳氮化物、以及来自这些材料的任何组合的层状物。具体的示例材料包括化学计量和非化学计量的SiO2、SiN、SiC、SiCN等成分。被蚀刻的一种或多种材料还可以包括其他元素,例如在各种情况下的氢。在一些实施方案中,被蚀刻的氮化物和/或氧化物材料具有包含氢的成分。如本文所使用的,应理解,氧化硅材料、氮化硅材料等包括这些材料的化学计量和非化学计量形式,并且这些材料可包括其他元素,如上所述。在某些情况下,下伏材料可能包括其他材料层,包括但不限于多晶硅层。
所公开的方法的一个应用是在形成DRAM器件的背景下。在这种情况下,可以主要在氧化硅中蚀刻该特征。例如,衬底还可以包括一层、两层或更多层氮化硅。在一个示例中,衬底包括夹在两个氮化硅层之间的氧化硅层,其中氧化硅层的厚度在约800-1800nm之间,并且一个或多个氮化硅层的厚度在约20-600nm之间。蚀刻特征可以是圆筒体,其最终深度在约1-3μm之间,例如在约1.5-2μm之间。圆筒体的宽度可以在约10-50nm之间,例如在约15-30nm之间。在蚀刻圆筒体之后,可以在其中形成电容器存储单元。
所公开的方法的另一个应用是在形成竖直NAND(VNAND,也称为3D NAND)设备的背景下。在这种情况下,特征蚀刻到其中的材料可以具有重复的分层结构。例如,该材料可包括交替的氧化物(例如,SiO2)层和氮化物(例如,SiN)层,或交替的氧化物(例如,SiO2)层和多晶硅层。交替层形成材料对。在一些情况下,对的数量可以是至少约20,至少约30,至少约40,至少约60,或至少约70,至少约120,至少约240,或至少约380。在各种情况下,所述对的数量可介于约10-60之间(例如,约20-120个单独的层之间),或约100-200之间,或约200-400之间。根据目前的设备尺寸,氧化物层可以具有约20-50nm之间的厚度,例如,在约30-40nm之间的厚度。氮化物或多晶硅层的厚度可以为约20-50nm,例如约30-40nm。随着设备尺寸的不断缩小,这些层可以更薄,例如,每层的厚度甚至达到<10纳米。在这些实施方案中,本文所述的技术也有望实现无穷大的选择性。蚀刻在交替层中的特征可以有约2-15微米的深度,例如约4-7微米的深度。该特征的宽度可在50-450纳米之间,例如50-100纳米之间。这里提供的尺寸/参数细节,如高度、深宽比、厚度、宽度和深度等,仅用于举例和说明。基于本文描述的公开内容,应该理解,不同的尺寸/参数也可以适用或使用。
III.蚀刻工艺
在多种实施方案中,蚀刻工艺是反应离子蚀刻工艺,其涉及使化学蚀刻剂流入反应室(通常通过喷头),从尤其蚀刻剂生成等离子体,并将衬底暴露于等离子体。等离子体将(一或多种)蚀刻剂化合物离解成中性物质和离子物质(例如带电或中性材料,例如CF、CF2和CF3)。在许多情况下,等离子体是电容耦合等离子体,但是可以适当地使用其他类型的等离子体。等离子体中的离子被导向衬底并在撞击或通过离子诱导的化学反应导致下伏材料被蚀刻掉。
可用于执行蚀刻工艺的示例性装置包括可从Lam Research Corporation(Fremont,CA)获得的FlexTM和VANTEXTM产品系列的反应离子蚀刻反应器。
本文公开的方法对蚀刻其上有介电材料的半导体衬底特别有用。如上所述,介电材料的示例包括硅氧化物、硅氮化物、硅碳化物、硅碳氮化物,以及这些材料的任何组合的层状物。特定的示例材料包括SiO2、SiN、SiC、SiCN等的化学计量和非化学计量配方。如上所述,被蚀刻的下伏材料可以包括一种(个)以上的材料类型/层。在特殊情况下,下伏材料可以提供作为交替的SiN和SiO2层,或交替的多晶硅和SiO2层。衬底通常有一个上覆的掩模层,该掩模层定义了要蚀刻的特征的位置。在本文的各种实施方案中,掩模层是一种可灰化的硬掩模材料,如非晶碳。
如上所述,与蚀刻选择性和翘曲形成有关的问题通常限制了蚀刻凹陷特征时可实现的深宽比。然而,本发明人已经发现,高深宽比的特征可以成功地以无穷大的选择性进行蚀刻,并且不形成任何实质性的翘曲。例如,这里的方法提供了无穷大的选择性,因为在蚀刻过程中不会像传统方法那样腐蚀掩模层,而是在掩模层的顶部进行选择性的竖直定向的方向沉积。因此,掩模层受到保护,不受任何腐蚀,从而使得能将下伏材料中的特征蚀刻以继续直至所需的深度。如本文所使用的,选择性竖直定向方向沉积是一种沉积工艺,其选择性地将材料沉积在暴露的水平表面(例如,掩模层的水平上表面)上,其中材料在垂直于水平表面的竖直方向上积聚起来。被蚀刻的特征的底表面不被认为是暴露的水平表面。
在蚀刻过程中在掩模层顶部积聚的材料可称为上部掩模保护层,而在蚀刻过程中形成的这种材料层可称为上部掩模保护层。这种材料在蚀刻工艺中形成,起到保护掩模层以及掩模层下面的下伏材料的作用。
上部掩模保护层与可能在特征的侧壁上形成的保护性侧壁层不同,例如,原因在于相关层的位置不同。例如,保护性侧壁层在特征的侧壁上形成,而上部掩模保护层在掩模层的顶部形成。在侧壁上的沉积可能是有问题的,特别是在这种沉积足够广泛以关闭或缩小特征并防止进一步蚀刻的情况下。有利的是,本文所述的选择性竖直定向方向沉积不会沉积在掩模或下伏材料的竖直表面(例如侧壁)上,从而避免了缩小特征使其达到可能停止蚀刻工艺的程度的风险。
此外,应该强调的是,上部掩模保护层是在衬底被积极地、连续地蚀刻时形成的。相比之下,在许多存在保护性侧壁层的情况下,保护性侧壁层是在与蚀刻步骤分开的沉积步骤中沉积的(例如,沉积和蚀刻步骤不同时发生)。同样,上部掩模保护层与掩模收缩层不同,后者可在单独的沉积步骤中沉积,以便在掩模层上沉积附加材料。上部掩模保护层也不同于传统的CxFy基的侧壁聚合物,后者在某些蚀刻工艺中可能在侧壁上积聚。这种传统的CxFy基的侧壁聚合物在蚀刻过程中可能在沉积上部掩模保护层的同时积聚;然而,与本文所述的上部掩模保护层相比,传统的CxFy基的侧壁聚合物沉积在不同的位置。具体来说,传统的CxFy基的侧壁聚合物被沉积在特征的侧壁上,而上部掩模保护层仅沉积在掩模层的顶表面上。换句话说,传统的CxFy基的侧壁聚合物通常沉积在竖直表面上,而上部掩模保护层只沉积在掩模层的水平上表面。在许多情况下,传统的CxFy基的侧壁聚合物是沿着特征的侧壁(例如,沿着整个特征深度,或至少在特征的顶部/开口附近)保形沉积的。
值得注意的是,由于上部掩模保护层是在选择性竖直定向方向沉积工艺中沉积的(这发生在蚀刻过程中),掩模层中提供的图案被保持。换句话说,上部掩模保护层在掩模层的水平上表面上有掩模的区域竖直地积聚。上部掩模保护层不在竖直表面(例如侧壁)上积聚。因此,沉积工艺是有选择性的,因为其只沉积在水平掩模表面。在没有掩模的区域(例如,掩模已被移除作为图案的一部分,以限定将被蚀刻的特征),上部掩模保护层不会形成。这种在掩模层的水平上表面的选择性沉积确保了被蚀刻的特征保持开放,在蚀刻过程中不会被阻断。此外,本文所述的方法不会在蚀刻的特征中生成明显的翘曲。
图2A-2C描述了部分制造的半导体衬底,因为它根据本文描述的一实施方案进行蚀刻。图2A描述了蚀刻前的衬底200。衬底200包括下伏材料202和掩模204。与图1A-1C相比,下伏材料202类似于下伏材料102,而掩模204类似于掩模104。一个区别是,掩模204可以比掩模104薄。因为这里的方法提供了无穷大的选择性,所以掩模可以非常薄而不影响蚀刻结果。图2B说明了在本文所述的为形成特征206而进行的蚀刻工艺中的衬底200。通过比较图2A和图2B,可以看出在蚀刻工艺中,掩模204没有被腐蚀掉。相反,如图2B所示,上部掩模保护层208形成在掩模204的顶部,从而防止掩模204在蚀刻过程中被腐蚀。图2C描述了蚀刻(或与图2B相比,在蚀刻过程中进一步进行蚀刻)后的衬底200。这里,可以看出,与蚀刻前和蚀刻过程中相比,掩模204的厚度仍然相同。随着特征206被蚀刻得更深,如图2C所示,上部掩模保护层208的厚度增长。该工艺显示出无穷大的蚀刻选择性,因为下伏材料202被蚀刻到特定的深度,而掩模204没有被蚀刻。这里,选择性将被计算为:(下伏材料的蚀刻深度)除以(掩模的蚀刻深度,也就是0)。因为分母是0,而任何数字除以0都是无穷大,所以蚀刻选择性被认为是无穷大的。
在某些情况下,在蚀刻过程中可能会消耗极少量的掩模,例如在蚀刻的最开始,在上部掩模保护层完全形成之前。然而,这样的掩模消耗很快就被掩模层上的上部掩模保护层的生长所缓解,并且一旦上部掩模保护层形成,就不会再有对下伏掩模层的蚀刻。此外,形成的上部掩模保护层的量/厚度大于可被去除的原始掩模的最小量/厚度。如图2C所示,上部掩模保护层基本上将掩模的高度延伸到上部掩模保护层的顶部。因此,在计算蚀刻选择性时,不应考虑在蚀刻过程中发生的掩模的任何最小量的蚀刻,只要(a)在蚀刻过程中消耗的掩模厚度小于起始掩模厚度的20%,以及(b)在蚀刻后,最终的上部掩模保护层和掩模加起来至少与起始掩模厚度一样厚。例如,在蚀刻前以500纳米厚的掩模开始并且在蚀刻后以490纳米厚的掩模和10纳米或更厚的上部掩模保护层结束的衬底可以理解为具有无穷大的蚀刻选择性。在这个示例中,即使有最小的(10纳米或2%)掩模蚀刻,这种掩模消耗也因形成10纳米或更厚的上部掩模保护层而得到缓解,该上部掩模保护层也起到掩模的作用。虽然在这个示例中,在蚀刻过程中消耗的掩模厚度(10纳米)等于在蚀刻过程中沉积的上部掩模保护层的厚度(10纳米),但在本文的大多数实施方案中,在蚀刻过程中沉积的上部掩模保护层的厚度大于在蚀刻过程中消耗的掩模厚度,如上所述,至少部分是因为发生的任何蚀刻是最小的。在这种情况下,下伏材料上面的最终材料厚度(例如,最终掩模和上部掩模保护层的厚度之和)比下伏材料上面的起始材料厚度(例如,蚀刻前的掩模厚度)要厚。这种无穷大的选择性对于蚀刻高深宽比特征非常有利。
图3示出了一个流程图,其描述了根据本文的各种实施方案蚀刻高深宽比特征的方法。该方法从操作301开始,其中衬底被装入反应室。下面参照图4A-4C描述一个示例性的反应室。在某些情况下,衬底可被装入衬底支撑件,如静电卡盘。该方法继续进行操作303,其中使反应物混合物流入反应室。反应物混合物可包括各种反应物,每种反应物可用于一个或多个目的。该反应物混合物包括蚀刻化学品,这将在下面进一步讨论。
接下来,在操作305,等离子体在室中被激励。该等离子体通常是电容耦合等离子体。衬底可以暴露于等离子体。在操作307,对衬底进行蚀刻。衬底可以通过等离子体中的离子和/或自由基被蚀刻。接下来,在操作309,等离子体被熄灭,并且衬底被从室中卸下。衬底在从反应室中取出后可被进一步处理。例如,衬底可被转移到灰化反应器中,在灰化过程中,上部掩模保护层和掩模可从衬底上去除。在操作311,反应室可选择性地被清洁。清洁可以在没有衬底的情况下进行。该清洁可以包括,例如,将反应室表面暴露于清洁化学品,该化学品可以以等离子体形式提供。在操作313,确定是否有额外的衬底需要处理。如果是的话,则该方法从操作301开始在新的衬底上重复进行。否则,该方法就完成了。
图3中所示的操作不一定按所示顺序进行。一些操作可能在时间上重叠,一些操作可能在比图中所示更早或更晚的时间发生。
IV.处理条件
在实施本文所述的实施方案时,有许多处理条件可以被控制。例如,提供给反应室的反应物混合物可以包括特定的反应物。在各种实施方案中,反应物混合物包括(1)氢气(H2)和(2)至少一种选自以下群组的反应物:氟甲烷(CH3F)、二氟甲烷(CH2F2)和三氟甲烷(CHF3)。在不同的实施方案中,反应物混合物可进一步包括一个或多个非氟卤素源(例如,溴源,如HBr等;氯源,如Cl2、SiCl4等;碘源,如CF3I等)。反应物混合物还可以包括一种或多种惰性气体(例如Ar、Kr等)。在某些情况下,反应物混合物可以包括一种或多种添加剂,这些添加剂来自由三氟化氮(NF3)、八氟丙烷(C3F8)、六氟丁二烯(C4F6)、八氟环丁烷(C4F8)、六氟化硫(SF6)、四氟甲烷(CF4)和甲烷(CH4)组成的群组。等离子体由反应混合物形成,所生成的物质与要蚀刻的下伏材料(例如,在许多情况下是氧化硅和氮化硅,或氧化硅和多晶硅)相互作用。这种相互作用的结果是本文所述的材料形成为上部掩模保护层,其在蚀刻工艺中以选择性的竖直定向方向沉积的方式形成。上部掩模保护层可以是石墨性质的富碳聚合物。上部掩模保护层的富碳聚合物可以包括氟,并且其可以进一步包括源于反应物混合物中的非氟卤素源的非氟卤素。例如,在非氟卤素源包括溴的情况下,上部掩模保护层可包括CxBryFz基材料。在非氟卤素源包括氯的情况下,上部掩模保护层可包括CxClyFz基材料。在非氟卤素源包括碘的情况下,上部掩模保护层可包括CxIyFz基材料。
在多种实施方案中,可以控制反应物混合物中各种反应物的流率。在多种情况下,H2的流率可介于约10-400sccm之间,或约20-400sccm之间。在这些或其他情况下,CH3F的流率可以介于约0-200sccm之间。在这些或其他情况下,CH2F2的流率可以介于约0-200sccm之间。在这些或其他情况下,CHF3的流率可以介于约0-200sccm之间。CH3F+CH2F2+CHF3的总流率可介于约20-300sccm之间。在某些情况下,NF3的流率可以介于约0-100sccm之间。在这些或其他情况下,SF6的流率可以介于约0-20sccm之间。在这些或其他情况下,CF4的流率可以介于约0-100sccm之间。在这些或其他情况下,无氟卤素源的流率可以介于约0-100sccm之间。在这些或其他情况下,C3F8的流率可以介于约0-50sccm之间。在这些或其他情况下,C4F8的流率可以介于约0-50sccm之间。在这些或其他情况下,C4F6的流率可以介于约0-50sccm之间。C3F8+C4F8+C4F6的总流率可以介于约0-50sccm之间。在这些或其他情况下,CH4的流率可以介于约0-100sccm之间。本文所述的任何反应物/添加剂可以以至少约1sccm、或至少约5sccm、或至少约10sccm的速率流动。虽然本文所述的许多流率范围包括最小的0sccm,但可以理解的是,这些物质在反应物混合物中可能存在,也可能不存在,当存在时,它们的流率可能至少约1sccm,或至少约5sccm或至少约10sccm。这些速率在大约50升的反应器容积中是合适的,并且可以相应地进行扩展。
在蚀刻过程中可以控制反应室的压强。在多种实施方案中,压强可以介于约10-80毫托之间,或约15-40毫托之间。可以控制用于在反应室中支持衬底的衬底保持器的温度。这种衬底保持器的温度会影响蚀刻过程中衬底的温度,但是衬底的实际温度也会受到其他因素的影响(例如等离子体条件)。在某些实施方案中,在暴露于等离子体之前和/或期间,衬底保持器可以被冷却到低温。该低温可以是约0℃或更低。在某些情况下,该低温可以低至约-100℃。
提供给反应室的衬底具有特定的结构,该结构包括下伏材料(其通常包括一种或多种介电材料)和覆盖的掩模,如上文相关于图1A-C和2A-C所述的。在多种实施方案中,下伏材料可包括上述的DRAM或3D NAND结构。由于本文所述的方法导致了无穷大的蚀刻选择性,因为在蚀刻过程中,掩模不会被腐蚀,所以掩模可以比通常用于这些应用的传统掩模要薄得多。在多种实施方案中,掩模可以具有介于约100-1000纳米之间的厚度。在某些情况下,掩模至少有约100纳米厚,或至少约300纳米厚,或至少约500纳米厚。在这些或其他情况下,掩模可以有约1000纳米或更少,或大约500纳米或更少,或大约300纳米或更少的厚度。这样的掩模厚度可能适合于蚀刻最终深度至少约3000纳米,或至少约15000纳米,和/或深宽比至少约20,或至少约200的特征。当然,在某些情况下也可以使用具有常规厚度的掩模(例如,>3微米的掩模厚度)。
可以控制等离子体生成条件,以便在衬底表面提供某些条件。在多种实施方案中,衬底处的最大离子能量可以相对较高,例如介于约1-10kV之间。最大的离子能量是由应用的RF功率与电极尺寸、电极位置和室几何结构的细节结合起来决定的。在多种情况下,使用双频RF功率来生成等离子体。因此,RF功率可以包括第一频率分量(例如,约400千赫)和第二频率分量(例如,约60兆赫)。在每个频率分量下可以提供不同的功率。例如,可以在介于约3-50千瓦之间,或约3-15千瓦之间,例如约5千瓦的功率下提供第一频率分量(例如,约400千赫),可以在不同的功率下,例如介于约0.5-5千瓦之间,例如约4千瓦的功率下提供第二频率分量(例如,约60兆赫)。这些功率电平假定射频功率被输送到单个300毫米的晶片上。对于额外的衬底和/或其他尺寸的衬底,功率电平可以根据衬底面积进行线性缩放(从而保持输送到衬底的统一功率密度)。在其他情况下,可以使用三频RF功率来生成等离子体。在各种情况下,所应用的RF功率可以以1-20,000赫兹的重复率进行脉冲。RF功率可以在两个非零值之间(例如,在高功率和低功率状态之间)或在零和非零值之间(例如,在关断和开启状态之间)脉冲化。当RF功率在两个非零值之间脉冲化时,上面提到的功率可能与较高功率状态有关,而较低功率状态可能对应于约600W或更低的RF功率。
不同的实施方案之间的时序会有所不同。通常,与较浅和较低深宽比的特征相比,较深和具有较高深宽比的特征需要更长的时间来蚀刻。因此,衬底暴露于等离子体的时间可以取决于所需的特征深度,较深的特征需要较长的等离子体暴露时间。在多种实施方案中,衬底暴露于等离子体的持续时间可以介于10-120分钟之间。类似地,总的蚀刻深度将取决于特定的应用。对于某些情况(例如,DRAM),总蚀刻深度可以介于约1.5-2微米之间。对于其他情况(例如VNAND),总蚀刻深度可以是至少约3微米,例如至少约4微米。在这些或其他情况下,总蚀刻深度可以是约15微米或更少。
V.优点
本文所述的实施方案提供了一些优点。例如,上部掩模保护层的选择性竖直定向方向沉积导致无穷大的蚀刻选择性。这意味着在蚀刻过程中不消耗掩模。相反,上部掩模保护层形成在掩模的顶部,从而确保掩模在整个蚀刻过程中保持完整的起始厚度。
值得注意的是,无穷大的蚀刻选择性能够形成比以前用传统方法可以实现的更深、更高深宽比的特征。更深的特征可以被形成,因为在蚀刻过程中没有掩模层被消耗的风险。上面结合图1A-1C讨论了掩模消耗问题及其对形成高深宽比特征的限制。这种问题在所公开的方法中不会发生。
由所公开的实施方案实现的无穷大的蚀刻选择性的另一优点是,与传统蚀刻技术所需的相比,可以减少掩模材料的量(例如,掩模厚度)。掩模材料的这种减少是有益的,因为它能节省大量的时间和成本。例如,较薄的掩模比较厚的掩模形成得更快,同样,也可以更快地进行图案化/显影。因此,较薄的掩模导致用于准备掩模的特定处理设备的产量增加。此外,较薄的掩模沉积的成本较低,因为它们需要较少的材料。这些优点加在一起,代表了对传统蚀刻技术的实质性和意外的改进。
VI.装置
本文中所描述的方法可以用任何合适的装置来执行。合适的装置包括用于完成处理操作的硬件和具有用于控制根据所呈现的实施方案的处理操作的指令的系统控制器。例如,在一些实施方案中,硬件可以包括:包含在处理工具中的一个或多个处理站。
图4A-4C示出了可用于执行这里所描述的蚀刻操作的可调节间隙电容耦合约束RF等离子体反应器400的实施方案。如所描绘的,真空室402包括室壳体404,室壳体404围绕容纳下电极406的内部空间。在室402的上部,上电极408与下电极406竖直隔开。上电极408和下电极406的平坦表面基本平行并正交于电极间的竖直方向。优选地,上电极408和下电极406是圆形的,并且相对于竖直轴线同轴。上电极408的下表面朝向下电极406的上表面。相对电极间隔开的表面限定其间存在的可调节间隙410。在操作期间,下电极406由RF功率源(匹配)420供给RF功率。RF功率通过RF供应管道422、RF带424和RF功率构件426被供给到下电极406。接地屏蔽件436可以围绕RF功率构件426,以供应更均匀的RF场到下电极406。晶片通过晶片端口482插入并被支撑在下电极406上的间隙410中以供处理,工艺气体被供给到间隙410并由RF功率激发成等离子体状态。上电极408可被供电或接地。
在图4A-图4C中所示的实施方案中,下电极406被支撑在下电极支撑板416上。插在下电极406和下电极支撑板416之间的绝缘环414使下电极406与支撑板416绝缘。
RF偏置壳体430将下电极406支撑在RF偏置壳体盆432上。盆432穿过在室壁板418中的开口通过RF偏置壳体430的臂434连接到导管支撑板438。在优选实施方案中,RF偏置壳体盆432和RF偏置壳体臂434一体地形成为一个部件,但是,臂434和盆432也可以是栓接或接合在一起的两个单独的部件。
RF偏置壳体臂434包括一个或多个中空通路用于传递RF功率和设施,诸如气体冷却剂、液体冷却剂、RF能量、用于升降销控制的电缆、电气监测和启动从真空室402外到真空室402内在下电极406的背面上的空间的信号。RF供应导管422与RF偏置壳体臂434绝缘,该RF偏置壳体臂434提供RF功率到RF功率源420的返回路径。设施管道440提供了用于设施组件的通道。间隙410优选地由约束环组件或罩(未示出)包围。真空室402的内部经由通过真空端口480连接到真空泵而维持在低压下。
导管支撑板438被附接到致动机构442。致动机构442,例如伺服机械电机、步进电机或类似物,通过例如螺旋齿轮446(如滚珠丝杠)和用于转动滚珠丝杠的马达附接到竖直线性轴承444。在调整间隙410的大小的操作过程中,致动机构442沿着竖直线性轴承444行进。图4A示出了当致动机构442在线性轴承444上处于产生小的间隙410a的高的位置时的布置。图4B示出了当致动机构442处于在线性轴承444上中间的位置时的布置。如图所示,下电极406、RF偏置壳体430、导管支撑板438、RF功率源420均相对于室壳体404和上电极408向下移动,从而产生中等大小的间隙410b。
图4C示出了当致动机构442处于在线性轴承上的低的位置时的大的间隙410c。优选地,上电极408和下电极406在间隙调整期间保持同轴并且跨越间隙的上电极和下电极的相对表面保持平行。
例如,为了保持跨越大直径衬底(例如300毫米晶片或平板显示器)的均匀蚀刻,本实施方案使得在多步骤蚀刻工艺配方(BARC、HARC、和STRIP等)期间在CCP室402中下电极406和上电极408之间的间隙410能进行调节。特别地,该室涉及一种机械装置,该机械装置使得能直线运动以提供下电极406和上电极408之间可调节的间隙。
图4A示出了在导管支撑板438的近端并在室壁板418的阶梯式凸缘428的远端密封的横向偏转的波纹管450。阶梯式凸缘的内径限定室壁板418中的开口412,RF偏置壳体臂434通过开口412。波纹管450的远端被夹持环452夹持。
横向偏转的波纹管450提供真空密封,同时允许RF偏置壳体430、导管支撑板438和致动机构442的竖直移动。RF偏置壳体430、导管支撑板438和致动机构442可以被称为悬臂组件。优选地,RF功率源420与该悬臂组件一起移动并可以附接到导管支撑板438。图4B示出了当悬臂组件在中间位置时处于中间位置的波纹管450。图4C示出了当悬臂组件处于低的位置时横向偏转的波纹管450。
迷宫式密封件448提供了波纹管450和等离子体处理室壳体404的内部之间的颗粒屏障。固定屏蔽件456在室壁板418处不可移动地连接到室壳体404的内壁内,以便提供迷宫式槽460(缝隙),其中可移动屏蔽板458竖直移动,以适应悬臂组件的竖直移动。可移动屏蔽板458的外部在下电极406的所有竖直位置保持在缝隙中。
在示出的实施方案中,迷宫式密封件448包括在限定迷宫式槽460的室壁板418的开口412的周边附接到室壁板418的内表面上的固定屏蔽件456。可移动屏蔽板458附接RF偏置壳体臂434并从该RF偏置壳体臂434穿过该室壁板418中的开口412之处径向延伸。可移动屏蔽板458延伸进入迷宫式槽460,同时与固定屏蔽件456间隔开第一间隙,并与室壁板418的内表面间隔开第二间隙,从而使得悬臂组件能竖直移动。迷宫式密封件448阻止从波纹管450剥落的颗粒迁移进入真空室内部405,并阻挡来自工艺气体等离子体的自由基迁移到波纹管450,在波纹管450中自由基可以形成随后剥落的沉积物。
图4A示出了当悬臂组件处于高位置(小的间隙410a)时在RF偏置壳体臂434上方的迷宫式槽460中较高的位置的可移动屏蔽板458。图4C示出了当悬臂组件处于低位置(大的间隙410c)时在RF偏置壳体臂434上方的迷宫式槽460中较低位置的可移动屏蔽板458。图4B示出了当悬臂组件处于中间位置(中等的间隙410b)时在迷宫式槽460内中等或中间位置的可移动屏蔽板458。尽管迷宫式密封件448被示出为相对于RF偏置壳体臂434是对称的,但在其他实施方案中迷宫式密封件448相对于RF偏置壳体臂434可以是不对称的。
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解,术语“部分制造的集成电路”可以指在其上面进行集成电路制造的许多阶段中的任何阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200毫米或300毫米或450毫米的直径。下面的详细描述假设本发明是在晶片上实现的。然而,本发明并不受限于此。工件可以具有各种形状、尺寸和材料。除半导体晶片外,可利用本发明的优点的其它工件还包括诸如印刷电路板、磁记录介质、磁记录传感器、镜子、光学元件、微型机械装置等各种制品。
VII.系统控制器
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、灰化室或模块以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
上述各种硬件和方法实施方案可以与光刻图案化工具或工艺结合使用,例如,用于半导体器件、显示器、LED、光伏面板等的制备或制造。通常,尽管不是必须的,这样的工具/工艺将在共同的制造设施中一起使用或进行。
膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,上面形成有氮化硅膜的衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或其他合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台或喷涂显影器之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用诸如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方案中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
应当理解的是,本文所述的配置和/或方法本质上是示例性的,并且这些具体实施方案或示例不应被认为是限制性的,因为许多变化是可能的。本文中所描述的具体例程或方法可表示任何数量的处理策略中的一种或多种。因此,如图所示的各种操作可以按图示的顺序、以其它顺序、并行、或在某些情况下省略执行。类似地,上述工艺的顺序可以改变。
本公开内容的主题包括各种处理、系统和配置以及其它特征、功能、操作和/或本文公开的性质以及任何和所有的等同物的所有新颖的和非显而易见的组合和子组合。
VIII.实验性
图5A-5C共同说明了传统蚀刻技术的缺点和通过所公开的方法可获得的新的、令人惊讶的结果。这些图应该被考虑并相互比较。图5A描述了蚀刻前的衬底。该衬底包括下伏材料502和在下伏材料502上图案化的掩模504。图5B描述了用常规方法蚀刻后的衬底。图5C描述了用本文所述方法蚀刻后的衬底。不同衬底的尺寸(例如,下伏材料502的厚度,掩模504的厚度,衬底直径等)在处理前是相同的,因此,结果很容易比较。此外,结果都以相同的比例显示,以进一步方便比较。水平线520延伸到图5A-5C的所有地方。为了视觉上的清晰,图5A用黑色描绘线520,图5B和5C用白色描绘线520。线520表示在蚀刻之前掩模504的起始高度。
在图5B中,箭头521表示根据常规技术进行蚀刻时消耗的掩模504的厚度。在这种情况下,掩模504在蚀刻过程中被明显腐蚀,掩模材料的损失超过25%。
在图5C中,箭头522表示在实践本文所述的实施方案时,在蚀刻期间沉积在掩模504顶部的上部掩模保护层508的厚度。这里,可以看到在蚀刻期间没有消耗任何掩模504。相反,沉积的上部掩模保护层508在蚀刻期间积聚,从而保护下伏掩模504不受腐蚀。因为在蚀刻过程中没有消耗任何掩模,所以能导致无穷大的蚀刻选择性。
图5C所示的结果是令人惊讶和意外的。用以前的蚀刻技术,在蚀刻期间总是消耗掩模。即使在蚀刻过程中发生一定程度的沉积(例如,在凹陷特征的侧壁上的碳氟化合物基聚合物)的情况下也是如此。以前,任何这样的沉积都不足以引起图5C中所示的竖直定向方向沉积。在以前的一些这样的情况下,蚀刻期间的沉积会导致凹陷特征因被材料堵塞而变窄和关闭。图5C的结果中没有显示这种堵塞。此外,图5C中所示的蚀刻特征是非常直的,没有任何实质性的弯曲。
此外,结果是出乎意料的,因为蚀刻期间的沉积是有选择性的,而且仅限于水平掩模表面。如上所述,传统的蚀刻技术经常导致材料沉积在特征的侧壁上。在图5C的结果中没有观察到这种侧壁沉积。
IX.结论
虽然已为了清楚理解的目的而对前述实施方案进行了一些详细的说明,但显而易见,可以在随附权利要求的范围内实施某些改变和修饰。应注意可以有许多实施本文实施方案的处理、系统和装置的替代方式。因此,本文的实施方案应视为示例性且非限制性的,且实施方案不应限制于在此处提出的细节。
Claims (24)
1.一种处理衬底的方法,所述衬底包括下伏材料和掩模,其中所述下伏材料包括至少一个氧化硅层,并且其中所述掩模被定位在所述下伏材料上,并被图案化以限定在所述下伏材料中将蚀刻出特征的位置,所述方法包括:
a.在反应室中生成等离子体;以及
b.将所述衬底暴露于在所述反应室的所述等离子体中,以同时
(i)蚀刻所述下伏材料中的所述特征,以及
(ii)在所述掩模上沉积上部掩模保护层,其中所述上部掩模保护层以选择性竖直定向方向沉积的方式形成在所述掩模的顶部。
2.根据权利要求1所述的方法,其中所述上部掩模保护层在蚀刻过程中保护所述掩模不受腐蚀,从而关于所述下伏材料的蚀刻选择性与所述掩模相比是无穷大的。
3.根据权利要求1所述的方法,其中所述上部掩模保护层包括石墨型富碳聚合物。
4.根据权利要求1所述的方法,其中所述上部掩模保护层包括CxBryFz基材料、CxClyFz基材料、CxIyFz基材料或其组合。
5.根据权利要求1所述的方法,其中在所述反应室中生成等离子体包括使反应物混合物流入所述反应室并由所述反应物混合物生成所述等离子体,所述反应物混合物包括(1)氢气(H2)以及(2)选自由氟甲烷(CH3F)、二氟甲烷(CH2F2)和三氟甲烷(CHF3)组成的群组的至少一种反应物。
6.根据权利要求5所述的方法,其中所述反应物混合物还包含非氟卤素源。
7.根据权利要求6所述的方法,其中所述非氟卤素源包括选自由HBr、Cl2、SiCl4和CF3I组成的群组的至少一种反应物。
8.根据权利要求5所述的方法,其中所述反应物混合物还包括来自由三氟化氮(NF3)、六氟丁二烯(C4F6)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、六氟化硫(SF6)、四氟甲烷(CF4)和甲烷(CH4)组成的群组的一种或多种添加剂。
9.根据权利要求5所述的方法,其中:
(i)在(b)期间,所述反应室内的压强保持在约10-80mT之间;
(ii)在(b)期间,所述衬底被支撑在衬底保持器上,该保持器的温度保持在约0℃和-100℃之间;
(iii)在(b)期间,所述衬底的表面的离子能量在约1-10千伏之间;
(iv)在(b)期间,用于生成所述等离子体的RF能量在介于约3-50千瓦之间的功率电平下脉冲化;以及
(v)所述等离子体是电容耦合等离子体。
10.根据权利要求1所述的方法,其中所述等离子体是电容耦合等离子体。
11.根据权利要求1所述的方法,其中在所述上部掩模保护层形成于所述掩模的顶部上之前,所述掩模的厚度为约3500纳米或更小。
12.根据权利要求11所述的方法,其中(b)中蚀刻的所述特征具有约20或更大的深度:宽度的深宽比,并且具有约100纳米或更大的最终深度。
13.根据权利要求1所述的方法,其中(b)中蚀刻的所述特征包括凹陷的圆筒体和凹陷的沟槽中的至少一者。
14.根据权利要求1所述的方法,其中所述选择性竖直定向方向沉积导致在存在所述掩模的区域形成所述上部掩模保护层,而不在所述下伏材料中蚀刻出所述特征的位置上方形成所述上部掩模保护层。
15.根据权利要求1所述的方法,其中所述下伏材料包括所述至少一个氧化硅层和至少一个氮化硅层。
16.根据权利要求1所述的方法,其中所述下伏材料包括交替的氧化硅层和多晶硅层,所述至少一个氧化硅层是所述交替的氧化硅层中的一者。
17.一种用于处理衬底的装置,所述装置包括:
a.反应室;
b.置于所述反应室中的衬底支撑件;
c.被配置为在所述反应室中生成等离子体的等离子体发生器;
d.通向所述反应室的一个或多个入口;以及
e.具有至少一个处理器的控制器,其中,所述控制器被配置为导致:
i.将所述衬底置于所述反应室中,所述衬底包括下伏材料和位于所述下伏材料上方的掩模,所述下伏材料包括介电材料;
ii.在所述反应室中生成所述等离子体;以及
iii.将所述衬底暴露于所述反应室中的所述等离子体,以同时(i)在所述衬底上的所述下伏材料中蚀刻特征,以及(ii)在所述掩模上沉积上部掩模保护层,其中所述上部掩模保护层以选择性竖直定向方向沉积的方式形成在所述掩模的顶部上。
18.根据权利要求17所述的装置,其中所述等离子体发生器被配置为生成电容耦合等离子体。
19.根据权利要求17所述的装置,其中所述控制器被配置为导致(e)(ii)使得所述上部掩模保护层包括石墨型富碳聚合物。
20.根据权利要求17所述的装置,其中所述控制器被配置为导致(e)(ii)使得所述上部掩模保护层包括CxBryFz基材料、CxClyFz基材料、CxIyFz基材料或其组合。
21.根据权利要求19所述的装置,其中所述控制器被配置为通过使反应物混合物流入反应室并使所述等离子体由所述反应物混合物生成而导致(e)(ii),所述反应物混合物包括:(1)氢气(H2)以及(2)选自由氟甲烷(CH3F)、二氟甲烷(CH2F2)和三氟甲烷(CHF3)组成的群组的至少一种反应物。
22.根据权利要求21所述的装置,其中所述反应物混合物还包含非氟卤素源。
23.根据权利要求22所述的装置,其中所述非氟卤素源包括选自由HBr、Cl2、SiCl4和CF3I组成的群组的至少一种反应物。
24.根据权利要求23所述的装置,其中所述反应物混合物还包括来自由三氟化氮(NF3)、六氟丁二烯(C4F6)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、六氟化硫(SF6)、四氟甲烷(CF4)和甲烷(CH4)组成的群组的一种或多种添加剂。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202062975899P | 2020-02-13 | 2020-02-13 | |
US62/975,899 | 2020-02-13 | ||
PCT/US2021/015650 WO2021162871A1 (en) | 2020-02-13 | 2021-01-29 | High aspect ratio etch with infinite selectivity |
Publications (1)
Publication Number | Publication Date |
---|---|
CN115380365A true CN115380365A (zh) | 2022-11-22 |
Family
ID=77292636
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202180028241.5A Pending CN115380365A (zh) | 2020-02-13 | 2021-01-29 | 具有无穷大选择性的高深宽比蚀刻 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20230081817A1 (zh) |
JP (1) | JP2023513771A (zh) |
KR (1) | KR20220137981A (zh) |
CN (1) | CN115380365A (zh) |
WO (1) | WO2021162871A1 (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2023215040A1 (en) * | 2022-05-02 | 2023-11-09 | Lam Research Corporation | Co-deposition and etch process |
US20240162043A1 (en) * | 2022-11-16 | 2024-05-16 | Tokyo Electron Limited | Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7682986B2 (en) * | 2007-02-05 | 2010-03-23 | Lam Research Corporation | Ultra-high aspect ratio dielectric etch |
US7732276B2 (en) * | 2007-04-26 | 2010-06-08 | Spansion Llc | Self-aligned patterning method by using non-conformal film and etch back for flash memory and other semiconductor applications |
US7629255B2 (en) * | 2007-06-04 | 2009-12-08 | Lam Research Corporation | Method for reducing microloading in etching high aspect ratio structures |
US8598040B2 (en) * | 2011-09-06 | 2013-12-03 | Lam Research Corporation | ETCH process for 3D flash structures |
US8808563B2 (en) * | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8969212B2 (en) * | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
JP6289996B2 (ja) * | 2014-05-14 | 2018-03-07 | 東京エレクトロン株式会社 | 被エッチング層をエッチングする方法 |
CN107369602B (zh) * | 2016-05-12 | 2019-02-19 | 北京北方华创微电子装备有限公司 | 反应腔室及半导体加工设备 |
-
2021
- 2021-01-29 JP JP2022549012A patent/JP2023513771A/ja active Pending
- 2021-01-29 KR KR1020227031230A patent/KR20220137981A/ko unknown
- 2021-01-29 WO PCT/US2021/015650 patent/WO2021162871A1/en active Application Filing
- 2021-01-29 CN CN202180028241.5A patent/CN115380365A/zh active Pending
- 2021-01-29 US US17/904,046 patent/US20230081817A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20230081817A1 (en) | 2023-03-16 |
KR20220137981A (ko) | 2022-10-12 |
WO2021162871A1 (en) | 2021-08-19 |
JP2023513771A (ja) | 2023-04-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20180286707A1 (en) | Gas additives for sidewall passivation during high aspect ratio cryogenic etch | |
US10741407B2 (en) | Reduction of sidewall notching for high aspect ratio 3D NAND etch | |
KR102675485B1 (ko) | 수평 표면들 상에 SiN의 선택적인 증착 | |
US10847377B2 (en) | Method of achieving high selectivity for high aspect ratio dielectric etch | |
TWI626686B (zh) | 用於半導體製造之內部電漿格柵應用 | |
JP2011507274A (ja) | プラズマエッチングシステム用の炭化珪素焦点リング | |
US20230335378A1 (en) | Passivation chemistry for plasma etching | |
TW202249116A (zh) | 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護 | |
US20230081817A1 (en) | High aspect ratio etch with infinite selectivity | |
CN114342043A (zh) | 低压下的高密度、模量和硬度的非晶碳膜 | |
US20230127597A1 (en) | High aspect ratio dielectric etch with chlorine | |
US20220351980A1 (en) | Waterborne dispersion composition | |
CN115244663A (zh) | 高深宽比3d nand蚀刻的侧壁凹陷的减少 | |
KR20210151699A (ko) | 기판 처리 방법 및 기판 처리 장치 | |
US20230260798A1 (en) | Chemistry for high aspect ratio etch for 3d-nand | |
TW202135293A (zh) | 對於高深寬比之3d nand 蝕刻的側壁缺口縮減 | |
KR20240091325A (ko) | 고 종횡비 플라즈마 에칭에서 금속 함유 표면들의 개질 | |
WO2023137275A1 (en) | High selectivity and uniform dielectric etch | |
TW202249115A (zh) | 蝕刻方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |