KR101780874B1 - 다변량 분석을 이용한 플라즈마 에칭 종료점 검출 - Google Patents

다변량 분석을 이용한 플라즈마 에칭 종료점 검출 Download PDF

Info

Publication number
KR101780874B1
KR101780874B1 KR1020157012887A KR20157012887A KR101780874B1 KR 101780874 B1 KR101780874 B1 KR 101780874B1 KR 1020157012887 A KR1020157012887 A KR 1020157012887A KR 20157012887 A KR20157012887 A KR 20157012887A KR 101780874 B1 KR101780874 B1 KR 101780874B1
Authority
KR
South Korea
Prior art keywords
oes
data
analysis
emission analysis
emission
Prior art date
Application number
KR1020157012887A
Other languages
English (en)
Other versions
KR20150070355A (ko
Inventor
얀 첸
세르구에이 코마로프
비 뷰옹
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150070355A publication Critical patent/KR20150070355A/ko
Application granted granted Critical
Publication of KR101780874B1 publication Critical patent/KR101780874B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/16Matrix or vector computation, e.g. matrix-matrix or matrix-vector multiplication, matrix factorization
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mathematical Physics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Data Mining & Analysis (AREA)
  • Computational Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Databases & Information Systems (AREA)
  • Algebra (AREA)
  • Computing Systems (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

발광 분석(OES) 데이터를 입력으로 사용하여 에칭 처리의 종료점을 결정하는 방법이 개시된다. 발광 분석(OES) 데이터는 플라즈마 에칭 처리 도구에 부착된 분광계에 의해 획득된다. 획득된 시간 전개 스펙트럼 데이터는 먼저 필터링 및 탈중위화되고, 그 다음에 주성분 분석과 같은 다변량 분석을 이용하여 변환형 스펙트럼 데이터 또는 추세로 변환되며, 이때 미리 산출된 주성분 가중치가 상기 변환을 달성하기 위해 사용된다. 복수의 추세를 포함한 함수형은 에칭 처리의 종료점을 더 정밀하게 결정하기 위해 사용될 수 있다. 이전의 에칭 처리로부터 수집된 OES 데이터에 기초하여 실제 에칭 전에 주성분 가중치를 산출하는 방법이 개시되고, 이 방법은 에칭 처리 종료점의 효율적이고 정확한 인라인(in-line) 결정을 위해 추세의 급속 산출 및 복수의 추세를 수반하는 함수형의 급속 산출을 촉진한다.

Description

다변량 분석을 이용한 플라즈마 에칭 종료점 검출{PLASMA ETCHING ENDPOINT DETECTION USING MULTIVARIATE ANALYSIS}
관련 출원에 대한 교차 참조
본 출원은 "다변량 분석을 이용한 플라즈마 에칭 처리의 종료점 검출 방법"의 명칭으로 2012년 10월 17일자 출원한 미국 가특허 출원 제61/715,047호(참조번호: TTI-240PROV)에 기초를 두고 상기 가특허 출원의 이익을 주장하며 상기 가특허 출원에 대한 우선권을 주장하는 것이며, 상기 가특허 출원의 전체 내용은 여기에서의 인용에 의해 본원에 통합된다.
발명의 분야
본 발명은 예를 들면 반도체 제조시에 기판상의 구조물을 에칭하는 공정을 제어하는 방법 및 시스템에 관한 것이다. 특히, 본 발명은 에칭 처리의 종료점을 결정하는 방법에 관한 것이다.
플라즈마 에칭 처리는 일반적으로 반도체 소자, 액정 디스플레이(LCD), 발광 다이오드(LED) 및 일부 광전지(PV)를 제조하는 과정에서 포토리소그래피와 함께 사용된다. 일반적으로, 포토레지스트 등의 감광(radiation-sensitive) 물질 층이 기판 위에 코팅되고 상기 물질 층에 잠상을 형성하기 위해 패턴화 광에 노출된다. 그 다음에, 상기 노출된 감광 물질을 현상하여 노출된 감광 물질을 제거함으로써(또는 네가티브 톤(negative tone) 포토레지스트를 사용하는 경우에는 노출되지 않음), 후속적으로 에칭되어야 하는 영역을 노출시키고 에칭이 요구되지 않는 영역을 덮는 감광 물질의 패턴을 남긴다. 에칭 처리, 예를 들면 플라즈마 에칭 처리 중에, 상기 기판과 감광 물질 패턴은 플라즈마 처리실에서 활동성 이온(energetic ion)에 노출되어 상기 감광 물질 하부의 물질을 제거함으로써 비아, 트렌치 등의 에칭된 피처(feature)를 형성한다. 하부 물질 내의 피처들의 에칭에 이어서, 나머지의 감광 물질이 애싱 또는 스트립핑 처리를 이용하여 상기 기판으로부터 제거되어 형성된 에칭된 구조물을 노출하여 추가의 처리를 위한 준비를 한다.
반도체 소자와 같은 많은 유형의 소자에 있어서, 플라즈마 에칭 처리는 제2 물질 층 위에 있는 제1 물질 층에서 수행되고, 에칭 처리에 의해 상기 제1 물질 층에서 개공 또는 패턴이 형성되면 하부의 제2 물질 층의 에칭으로 진행하지 않고 에칭 처리가 정확히 정지되는 것이 중요하다.
에칭 처리를 제어하기 위해, 각종 유형의 종료점(endpoint) 제어가 사용되고, 그 중 일부는 예를 들면 에칭 대상 층의 화학적 조성과는 다른 화학적 조성을 갖는 하부 층에까지 에칭 처리가 진행되었는지를 추론하기 위해 플라즈마 처리실 내 가스의 화학물질 분석에 의존한다. 다른 처리들은 에칭 대상 구조물로 이루어지는 직접 현장 측정(in-situ measurement)에 의존할 수 있다. 전자의 그룹에서는 플라즈마 처리실 내 가스의 화학물질을 모니터링하기 위해 발광 분석(optical emission spectroscopy, OES)이 자주 사용된다. 플라즈마 처리실 내 가스의 화학종은 플라즈마 여자 메카니즘을 이용하여 여자되고, 여자된 화학종은 플라즈마의 발광 스펙트럼에서 상이한 스펙트럼 표시를 생성한다. 예컨대 에칭 대상 층의 소거(clearing)에 기인하는 발광 스펙트럼의 변화, 및 기판에서의 하부 층의 노출은 모니터링될 수 있고, 하부 층의 에칭 또는 언더컷 등과 같은 다른 수율 저해 결함의 형성을 피하기 위하여 에칭 처리를 정확히 종료하기 위해(즉, 종료점) 사용될 수 있다.
에칭 대상 구조물의 유형 및 에칭 처리 파라미터에 따라서, 에칭 처리의 종료점에서 플라즈마의 발광 스펙트럼의 변화는 매우 뚜렷하여 검출하기 쉬울 수 있고, 또는 반대로 희미하여 검출하기가 매우 어려울 수 있다. 예를 들면, 매우 낮은 개방비(open ratio)로 구조물을 에칭하면 발광 분석(OES) 데이터를 처리하기 위한 현재의 알고리즘을 이용한 종료점 검출이 매우 어려울 수 있다. 그러므로, 발광 분석(OES)데이터에 기초한 에칭 종료점 검출을 상기와 같은 난제인 에칭 처리 조건에서 더 강하게 하기 위한 개선이 필요하다.
본 발명의 일 양태는 발광 분석(OES) 데이터를 획득하기 위한 분광계를 포함한 플라즈마 에칭 처리 도구에서 하나 이상의 플라즈마 에칭 처리 런(run)을 수행하는 단계를 포함한 에칭 처리 종료점 데이터를 결정하는 방법이다. 각각의 플라즈마 에칭 처리 런 중에, 발광 분석(OES) 데이터 집합이 동일한 시간 간격으로 샘플링되고, 발광 분석(OES) 데이터 행렬([X])이 행(row)을 차지하는 시간 샘플과 열(column)을 차지하는 픽셀 위치(즉, 파장)에 의해 형성된다.
본 발명의 다른 양태에 있어서, 상기 획득된 발광 분석(OES) 데이터 행렬([X])은 그 다음에 요소마다 평균화되어 하나 이상의 플라즈마 에칭 처리 런에 대한 평균(average) 발광 분석(OES) 데이터 행렬([X]avg)을 형성한다. 그 다음에, 상기 평균 발광 분석(OES) 데이터 행렬([X]avg)을 필터링하여 발광 분석(OES) 데이터로부터 노이즈를 제거할 수 있다. 추가 처리를 위한 데이터 품질을 개선하기 위해, 상기 발광 분석(OES) 데이터 행렬([X]) 및 상기 평균 발광 분석(OES) 데이터 행렬([X]avg)은 각각 플라즈마 시동 조건 및 에칭 처리의 종료점을 따르는 임의의 조건에 대응하는 데이터 집합의 임의 부분을 제거하기 위해 잘려진다(truncate). 중위(mean) 발광 분석(OES) 데이터 행렬([Savg])을 산출함으로써 연산이 추가로 진행되고, 여기에서 각 열의 각 요소는 그 분광계 픽셀, 즉 행렬의 열에 대하여, 잘려진 후 유지되는 모든 시간 샘플에 대한 분광계 픽셀 강도의 평균을 표시한다. 그 다음에 상기 중위 발광 분석(OES) 데이터 행렬([Savg])은 각각의 발광 분석(OES) 데이터 행렬([X])로부터 감산된다. 그러므로, 상기 발광 분석(OES) 데이터는 탈중위화(de-meaned)된다. 그러나, 일반적으로 다변량 분석에서 행하여지는 것과는 달리, 발광 분석(OES) 데이터는 다변량 분석의 입력으로 사용되기 전에 정규화되지 않는다.
본 발명의 추가의 양태에 있어서, 탈중위화 및 비정규화 발광 분석(OES) 데이터는 이제 물리적 발광 분석(OES) 데이터를 변환형 발광 분석(OES) 데이터 벡터([T])로 변환하는 주성분 분석(principal components analysis, PCA)의 입력으로서 사용된다. 상기 탈중위화 및 비정규화 발광 분석(OES) 데이터는 또한 차후에 임의의 물리적 발광 분석(OES) 데이터를 주성분 도메인으로 변환하기 위해 사용할 수 있는 주성분 가중치(weight) 벡터([P])를 제공한다.
본 발명의 또 다른 양태에 있어서, 에칭 처리의 종료점을 신뢰성 있게 결정하기 위해, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들은 추세 변수라고도 부르는 함수형(functional form)(f(Ti))으로 결합될 수 있고, 이것은 에칭 처리가 종료점에 도달한 때의 시간 동안에 상기 변환형 발광 분석(OES) 데이터 벡터([T]) 요소에 대하여 발생하는 변화를 특히 강조한다. 일 실시형태에 있어서, 상기 추세 변수(f(Ti))는 단순히 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 단일 요소를 포함할 수 있다. 다른 실시형태에 있어서, 상기 추세 변수(f(Ti))는 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 2개 요소의 비율을 포함할 수 있다. 상기 비율 자체는 정수 멱(integer power) 또는 비정수 멱까지 상승될 수 있다. 종료점 검출 신뢰도를 더욱 높이기 위해, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들은 에칭 처리 중의 모든 샘플 시간에 대하여 평가된 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 각 요소의 최소치, 또는 최소치의 배수를 감산함으로써 시프트될 수 있다. 이러한 시프트, 또는 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소로부터 "페디스털"(pedestal)의 제거는 소정의 에칭 처리와 연합된 플라즈마 화학물질의 미묘한 변화에 더 민감하게 상기 방법을 연출하는 것을 돕는다. 일 실시형태에 있어서, 상기 추세 변수의 함수형은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소 T2와 T3의 비율의 제곱을 수반하여 f(Ti) = (T2-2ㆍmin(T2))2/(T3-2ㆍmin(T3))2으로 될 수 있고, 각 요소는 각 요소의 최소치의 2배만큼 시프트된다.
본 발명의 또 다른 양태에 있어서, 주성분 가중치 벡터([P])의 값, 중위 발광 분석(OES) 데이터 행렬([Savg]), 및 옵션적으로 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들의 최소치(min(Ti))는 명목상 동일하거나 유사한 에칭 처리의 현장 종료점 검출에서 사용하기 위해 휘발성 또는 비휘발성 기억 매체에 저장될 수 있다.
본 발명의 일 양태에 있어서, 에칭 처리의 현장 종료점 검출의 처리는 주성분 가중치 벡터([P])의 미리 저장된 값, 중위 발광 분석(OES) 데이터 행렬([Savg]), 및 옵션적으로 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들의 최소치(min(Ti))를 데이터 기억 매체로부터 검색함으로써 진행한다. 기판을 플라즈마 에칭 처리실에 로드하고 플라즈마를 개시한 때, 에칭 처리 중에 규칙적 또는 불규칙적 간격으로 플라즈마 에칭 도구에 장착된 분광계를 이용하여 발광 분석(OES) 데이터의 측정이 이루어진다. 획득된 발광 분석(OES) 데이터는 현장 획득 데이터를 주성분 도메인으로 신속히 변환하기 위해 상기 중위 발광 분석(OES) 데이터 행렬([Savg])을 감산한 후에 상기 주성분 가중치 벡터([P])와 곱해진다. 일단 변환되면, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 산출된 요소들은 전술한 바와 같이 미리 선택된 함수형(f(Ti)) 또는 추세 변수에 결합될 수 있고, 그 시간적 전개는 에칭 처리의 종료점의 정확한 현장 결정을 가능하게 한다. 일 실시형태에 있어서, 상기 함수형(f(Ti)) 또는 추세 변수는 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들의 시프트된 값의 사용을 수반할 수 있고, 그 시프트는 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들의 최소치(min(Ti))를 감산함으로써 달성된다.
본 발명의 더 완전한 이해 및 많은 부수적인 장점들은 이하의 상세한 설명을 참조함으로써, 특히 첨부 도면과 함께 고려할 때 더욱 명백하게 될 것이다.
도 1은 발광 분석(OES) 데이터를 획득하기 위해 사용하는 분광계, 및 여기에서 설명하는 에칭 종료점 검출 방법을 구현하는 제어기를 포함한 광 검출 장치를 구비한 예시적인 플라즈마 에칭 처리 시스템의 개략도이다.
도 2는 나중의 현장 에칭 종료점 검출을 위해 에칭 종료점을 준비하는 방법의 흐름도이다.
도 3은 현장 에칭 종료점 검출 방법의 흐름도이다.
도 4a 내지 도 4d는 변환형 발광 분석(OES) 데이터 벡터([T])의 최초 4개의 요소의 시간 전개를 보인 예시적인 그래프이다.
도 5는 변환형 발광 분석(OES) 데이터 벡터([T])의 시프트된 요소들의 비율을 수반하는 추세 변수 함수형의 시간 전개를 보인 예시적인 그래프이다.
이하의 설명에서, 발명의 완전한 이해를 위해서 및 비제한적인 설명 목적으로, 리소그래피, 코팅기/현상기, 갭 충전 처리 시스템의 특정 지오메트리, 및 각종 컴포넌트 및 처리의 설명과 같은 특정 세부가 개시된다. 그러나, 본 발명은 이러한 특정 세부로부터 벗어나는 다른 실시형태에서 실시될 수 있다는 것을 이해하여야 한다.
이하의 설명에서 용어 감광재와 포토레지스트는 상호교환적으로 사용되고, 포토레지스트는 포토리소그래피에서 사용하는 많은 적당한 감광재 중의 하나이다. 유사하게, 이하에서 용어 기판은 처리 대상의 워크피스를 나타내고, 반도체 웨이퍼, LCD 패널, 발광 다이오드(LED), 광전지(PV) 소자 패널 등의 용어와 상호교환적으로 사용되며, 이들 모두의 처리는 청구된 발명의 범위에 속한다.
이 명세서 전반에 걸쳐서 "일 실시형태" 또는 "실시형태"는 실시형태와 관련하여 설명한 특수한 피처, 구조, 물질 또는 특성이 발명의 적어도 하나의 실시형태에 포함되는 것을 의미하지만, 그러한 피처, 구조, 물질 또는 특성이 모든 실시형태에서 존재한다는 것을 표시하지는 않는다. 따라서, 이 명세서 전반에 걸쳐서 "일 실시형태에 있어서" 또는 "실시형태에 있어서"라는 구의 사용은 반드시 발명의 동일한 실시형태를 말하는 것이 아니다. 또한, 특수한 피처, 구조, 물질 또는 특성은 임의의 적당한 방식으로 하나 이상의 실시형태에서 결합될 수 있다.
각종의 동작들이 발명을 이해하는데 가장 도움을 주는 방식으로 복수의 이산적인 동작으로서 차례로 설명될 것이다. 그러나, 설명되는 순서는 이러한 동작들이 반드시 순서 종속성이 있음을 의미한다고 해석되어서는 안된다. 특히, 이러한 동작들은 제시되는 순서로 수행될 필요가 없다. 여기에서 설명하는 동작들은 설명하는 실시형태에서의 순서와 다른 순서로 수행될 수 있다. 추가의 실시형태에서는 각종의 추가적인 동작이 수행될 수 있고 및/또는 설명되는 동작이 생략될 수도 있다.
본 발명의 실시형태에 따르면, 도 1은 플라즈마 에칭 처리 시스템(10) 및 제어기(55)를 보인 것이고, 여기에서 제어기(55)는 플라즈마 에칭 처리 시스템(10)에 결합된다. 제어기(55)는 플라즈마 에칭 처리 시스템(10)에 배치된 각종 센서로부터 획득된 데이터를 이용하여 플라즈마 에칭 처리 시스템(10)의 수행을 모니터링하도록 구성된다. 예를 들면, 제어기(55)는 플라즈마 에칭 처리 시스템(10)의 각종 컴포넌트를 제어하고, 오류를 검출하고, 에칭 처리의 종료점을 검출하기 위해 사용될 수 있다.
도 1에 도시된 본 발명의 예시적인 실시형태에 따르면, 플라즈마 에칭 처리 시스템(10)은 처리실(15)과, 처리 대상의 기판(25)이 고정되는 기판 홀더(20)와, 가스 주입 시스템(40)과, 진공 펌핑 시스템(58)을 포함한다. 기판(25)은 예를 들면 반도체 기판, 웨이퍼 또는 액정 디스플레이(LCD)일 수 있다. 플라즈마 에칭 처리 시스템(10)은 예를 들면 기판(25)의 표면에 인접한 처리 영역(45)에서 플라즈마의 발생을 촉진하도록 구성될 수 있고, 여기에서 플라즈마는 가열 전자(heated electron)와 이온화 가능 가스가 충돌하여 형성된다. 이온화 가능 가스 또는 가스 혼합물은 가스 주입 시스템(40)을 통하여 도입되고, 처리 압력이 조정된다. 바람직하게, 플라즈마는 미리 정해진 물질 처리에 특유한 물질을 생성하기 위해서, 및 기판(25)의 노출된 포면으로부터의 물질 제거를 돕기 위해 활용된다. 예를 들면, 제어기(55)는 진공 펌핑 시스템(58) 및 가스 주입 시스템(40)을 제어하기 위해 사용될 수 있다.
기판(25)은 예를 들면 로보트 기판 전달 시스템에 의해 슬롯 밸브(도시 생략됨) 및 챔버 피드스루(feed-through)(도시 생략됨)를 통해 플라즈마 에칭 처리 시스템(10) 내/외로 전달될 수 있고, 기판은 기판 홀더(20)에 설치된 기판 리프트 핀(도시 생략됨)에 의해 수납되어 기판 홀더(20)에 설치된 장치들에 의해 기계적으로 옮겨진다. 기판(25)이 기판 전달 시스템으로부터 수납되면, 기판은 기판 홀더(20)의 상부 표면까지 낮추어진다.
예를 들면, 기판(25)은 정전 클램핑 시스템(28)을 통해 기판 홀더(20)에 고정될 수 있다. 또한, 기판 홀더(20)는 기판 홀더(20)로부터 열을 수용하여 열을 열 교환기 시스템(도시 생략됨)에 전달하고, 또는 가열할 때는 상기 열 교환기 시스템으로부터의 열을 전달하는 재순환 냉각수 유동을 포함한 냉각 시스템을 또한 포함할 수 있다. 더 나아가, 가스는 기판(25)과 기판 홀더(20) 간의 가스 갭 열전도를 개선하기 위해 배면 가스 전달 시스템(26)을 통해 기판의 배면으로 전달될 수 있다. 그러한 시스템은 고온 또는 저온에서 기판의 온도 제어가 필요할 때 활용될 수 있다. 예를 들면, 기판의 온도 제어는 플라즈마로부터 기판(25)으로 전달된 열류와 기판 홀더(20)로의 전도에 의해 기판으로부터 제거된 열류의 균형에 기인하여 달성되는 정상 상태 온도를 초과하는 온도에서 유용할 수 있다. 다른 실시형태에 있어서, 저항 발열 요소 또는 열전(thermo-electric) 히터/쿨러와 같은 발열 요소가 포함될 수 있다.
계속하여 도 1을 참조하면, 처리 가스는 예를 들면 가스 주입 시스템(40)을 통하여 처리 영역(45)으로 도입될 수 있다. 처리 가스는 예를 들면 산화 에칭 응용을 위한 아르곤과 CF4와 O2, 또는 Ar과 C4F8과 O2와 같은 가스 혼합물, 또는 예를 들면 O2/CO/Ar/C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H2와 같은 다른 화학물질을 포함할 수 있다. 가스 주입 시스템(40)은 분수구(showerhead)를 포함하고, 이때 처리 가스는 가스 주입 플레넘(도시 생략됨) 및 다공성 분수구 가스 주입판(도시 생략됨)을 통하여 가스 전달 시스템(도시 생략됨)으로부터 처리 영역(45)으로 공급된다.
진공 펌핑 시스템(58)은 예를 들면 초당 5000 리터(및 그 이상)까지 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump, TMP) 및 처리실 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭을 위해 사용하는 종래의 플라즈마 처리 장치에서는 초당 1000~3000 리터의 TMP가 일반적으로 사용된다. TMP는 저압 처리, 전형적으로 50 mTorr 미만의 저압 처리에 유용하다. 더 높은 압력에서는 TMP 펌핑 속도가 급격하게 떨어진다. 고압 처리(즉, 100 mTorr 이상)를 위해, 기계적 부스터 펌프 및 건식 러핑(roughing) 펌프를 사용할 수 있다. 또한, 처리실 압력을 모니터링하기 위한 장치(도시 생략됨)가 처리실(15)에 결합된다. 압력 측정 장치는 예를 들면 매사추세츠주 앤도버에 소재하는 MKS 인스트루먼트사(MKS Instruments, Inc.)로부터 상업적으로 입수가능한 타입 628B 바라트론 절대 용량 마노미터일 수 있다.
도 1에 또한 도시된 바와 같이, 플라즈마 에칭 처리 시스템(10)은 플라즈마 소스(80)를 포함한다. 예를 들면, RF 또는 마이크로파 전력이 발전기(72)로부터 임피던스 매칭 네트워크 또는 튜너(74)를 통하여 플라즈마 소스(80)에 결합될 수 있다. 플라즈마 소스에 RF 전력을 인가하기 위한 주파수는 10 MHz 내지 200 MHz 범위이고, 바람직하게는 용량 결합(CCP), 유도 결합(ICP) 및 변압기 결합(TCP) 플라즈마 소스의 경우 60 MHz이다. 전자 사이클로트론(ECR) 및 표면파 플라즈마(SWP) 소스와 같은 마이크로파 플라즈마 소스(80)의 경우, 발전기(72)의 전형적인 동작 주파수는 1~5 GHz이고, 바람직하게는 약 2.45 GHz이다. 표면파 플라즈마(SWP) 소스(80)의 일 예는 사선 슬롯 안테나(radial line slotted antenna, PLSA) 플라즈마 소스이다. 더 나아가, 플라즈마 소스(80)에 대한 RF 또는 마이크로파 전력의 인가를 제어하기 위해 제어기(55)가 발전기(72) 및 임피던스 매칭 네트워크 또는 튜너(74)에 결합될 수 있다.
도 1에 도시된 것처럼, 기판 홀더(20)는 RF 발전기(30)로부터 임피던스 매칭 네트워크(32)를 통하여 기판 홀더(20)에 RF 전력을 송신함으로써 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 처리 영역(45)에서 형성된 플라즈마로부터 이온들을 끌어당겨서 에칭 처리를 촉진하기 위해 소용될 수 있다. 기판 홀더(20)에 대한 전력 인가의 주파수는 0.1~30 MHz의 범위일 수 있고 바람직하게는 2 MHz이다. 대안적으로, RF 전력은 복수의 주파수로 기판 홀더(20)에 인가될 수 있다. 또한, 임피던스 매칭 네트워크(32)는 반사 전력을 최소화함으로써 처리실(15)의 플라즈마에 대한 RF 전력의 전송을 최대화하도록 소용된다. 각종의 매칭 네트워크 토폴로지(예를 들면, L형, π형, T형 등) 및 자동 제어 방법을 활용할 수 있다.
각종 센서는 플라즈마 에칭 처리 시스템(10)으로부터의 툴 데이터를 수신하도록 구성된다. 센서들은 플라즈마 에칭 처리 시스템(10)에 내재성인 센서 및 플라즈마 에칭 처리 시스템(10)에 외재성인 센서 둘 다를 포함할 수 있다. 내재성 센서는 헬륨 백사이드 가스압, 헬륨 백사이드 유동, 정전 척(electrostatic chuck, ESC) 전압, ESC 전류, 기판 홀더(20) 온도(또는 하부 전극(LEL) 온도), 냉각제 온도, 상부 전극(UEL) 온도, 순방향 RF 전력, 반사 RF 전력, RF 자기유도 DC 바이어스, RF 피크대 피크 전압, 처리실 벽 온도, 처리 가스 유동률, 처리 가스 부분압, 처리실 압력, 커패시터 세팅(즉, C1 및 C2 위치), 포커스 링 두께, RF 시간, 포커스 링 RF 시간, 및 이들의 임의의 통계치의 측정과 같은 플라즈마 에칭 처리 시스템(10)의 기능에 관한 센서들을 포함할 수 있다. 대안적으로, 외재성 센서는 도 1에 도시된 것처럼 처리 영역(45) 내의 플라즈마로부터 방출된 광을 모니터링하기 위한 광 검출 장치(34)와 같이 플라즈마 에칭 처리 시스템(10)의 기능과 직접 관련되지 않은 센서들을 포함할 수 있다.
광 검출 장치(34)는 플라즈마로부터 방출된 총 광도를 측정하기 위한 (실리콘) 포토다이오드 또는 광전자 증배관(PMT)과 같은 검출기를 포함할 수 있다. 광 검출 장치(34)는 협대역 간섭 필터와 같은 광필터를 또한 포함할 수 있다. 다른 실시형태에 있어서, 광 검출 장치(34)는 선형 CCD(전하 결합 소자) 또는 CID(전하 주입 소자) 어레이, 및 격자 또는 프리즘과 같은 광 분산 장치를 포함한다. 추가로, 광 검출 장치(34)는 소정 파장의 광을 측정하기 위한 단색화장치(monochromator)(예를 들면, 격자/검출기 시스템), 또는 광 스펙트럼을 측정하기 위한 분광계(예를 들면, 회전 격자 또는 고정 격자를 갖는 것)를 포함할 수 있다. 광 검출 장치(34)는 피크 센서 시스템으로부터의 고해상도 발광 분석(OES) 센서를 포함할 수 있다. 이러한 OES 센서는 자외선(UV), 가시광선(VIS) 및 근적외선(NIR) 광 스펙트럼에 걸치는 넓은 스펙트럼을 갖는다. 상기 피크 센서 시스템에 있어서, 해상도는 약 1.4Å이다. 즉, 센서는 240 nm로부터 1000 nm까지 5550 파장을 수집할 수 있다. 상기 피크 센서 시스템에 있어서, 센서는 고감도 미니어처 광섬유 UV-VIS-NIR 분광계를 구비하고, 이들은 결국 2048 픽셀 선형 CCD 어레이와 함께 집적된다.
본 발명의 일 실시형태에서의 분광계는 단일의 묶음형 광섬유를 통하여 전송된 광을 수신하고, 이때 광섬유에서 출력된 광은 고정 격자를 이용하여 선형 CCD 어레이를 통해 분산된다. 전술한 구성과 유사하게, 광학 진공 창을 통해 방출하는 광은 볼록 구면 렌즈에 의해 광섬유의 입력단에 집속된다. 주어진 스펙트럼 범위(UV, VIS, NIR)에 대하여 각각 특별하게 동조된 3개의 분광계는 처리실에 대한 센서를 형성한다. 각각의 분광계는 독립 A/D 컨버터를 포함한다. 마지막으로, 센서 활용에 따라서, 전체 방출 스펙트럼이 0.01 내지 1.0 초마다 기록될 수 있다.
대안적으로, 일 실시형태에 있어서, 전반사 광학기기를 구비한 분광계가 광 검출 장치(34)에 의해 사용될 수 있다. 또한, 일 실시형태에 있어서, 검출되는 광 파장의 전체 범위에 대한 단일 격자 및 단일 검출기를 수반하는 단일 분광계를 사용할 수도 있다. 예컨대 광 검출 장치(34)를 이용하여 발광 분석(OES) 데이터를 획득하기 위한 발광 분석 하드웨어의 설계 및 용법은 광 플라즈마 진단 기술 분야에서 잘 알려져 있다.
제어기(55)는 플라즈마 에칭 처리 시스템(10)에 대하여 입력을 통신하고 활성화하기에 충분한 제어 전압을 생성하고 플라즈마 에칭 처리 시스템(10)으로부터의 출력을 모니터링할 수 있는 마이크로프로세서, 메모리, 및 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 컨버터를 포함함)를 포함한다. 도 1에 도시된 바와 같이, 제어기(55)는 RF 발전기(30), 임피던스 매칭 네트워크(32), 가스 주입 시스템(40), 진공 펌핑 시스템(58), 배면 가스 전달 시스템(26), 정전 클램핑 시스템(28) 및 광 검출 장치(34)에 결합되어 이들 컴포넌트와 정보를 교환할 수 있다. 메모리에 저장된 프로그램은 저장되어 있는 처리법에 따라 플라즈마 에칭 처리 시스템(10)의 전술한 컴포넌트와 상호작용하기 위해 활용된다. 제어기(55)의 일 예는 텍사스주 오스틴에 소재하는 델사(Dell Corporation)로부터 입수 가능한 델 프레시전 워크스테이션 530TM(DELL PRECISION WORKSTATION 530TM)이다. 제어기(55)는 플라즈마 에칭 처리 시스템(10)에 대하여 국부적으로 위치될 수 있고, 또는 제어기(55)는 플라즈마 에칭 처리 시스템(10)에 대하여 원격에 위치될 수도 있다. 예를 들면, 제어기(55)는 직접 접속, 인트라넷 및 인터넷 중의 적어도 하나를 이용하여 플라즈마 에칭 처리 시스템(10)과 데이터를 교환할 수 있다. 제어기(55)는 예를 들면 고객 측(즉, 장치 제조자 등)에서 인트라넷에 결합될 수 있고, 또는 판매자 측(즉, 장비 제조자)에서 인트라넷에 결합될 수도 있다. 추가로, 예를 들면, 제어기(55)는 인터넷에 결합될 수 있다. 또한 직접 접속, 인트라넷 및 인터넷 중의 적어도 하나를 통하여 데이터를 교환하기 위해 다른 컴퓨터(즉, 제어기, 서버 등)가 예를 들면 제어기(55)에 접근할 수 있다. 제어기(55)는 또한 여기에서 추가로 설명하는 바와 같이 광 검출 장치(34)로부터 제공된 입력 데이터에 기초하여 플라즈마 에칭 처리 시스템(10)에서 수행되는 에칭 처리의 종료점의 검출을 위한 알고리즘을 구현한다.
본 발명의 실시형태에 따른 종료점 결정 처리는 2개의 단계로 진행한다. 제1 단계에서는 발광 분석(OES) 데이터가 플라즈마 에칭 처리 시스템(10)에서 수행되는 하나 이상의 에칭 처리 런 중에 광 검출 장치(34)를 이용하여 획득되고, 그래서 상기 획득된 발광 분석(OES) 데이터의 다변량 모델이 확립될 수 있다. 발광 분석(OES) 데이터의 다변량 모델이 확립되었으면, 이 다변량 모델은, 제2 단계 중에 가동되는 에칭 처리가 에칭 대상 구조물, 에칭 처리 조건, 사용하는 에칭 처리 시스템 등에 있어서 상기 제1 단계에서 수행된 하나 이상의 에칭 처리 런에서 사용된 것과 적절히 유사한 경우, 현장 에칭 종료점 검출을 위해 제2 단계에서 사용될 수 있다. 이것은 다변량 모델의 유효성을 보장할 것이다.
도 2를 참조하면, 상기 제1 단계의 흐름도가 도시되어 있고, 발광 분석(OES) 데이터의 다변량 모델을 구성하는 처리가 하나 이상의 플라즈마 에칭 처리 런의 집합을 수행함으로써 단계 210에서 시작된다. 전술한 바와 같이, 이들 런 중의 에칭 처리 조건은, 다변량 모델의 유효성이 유지되기 위해, 상기 제2 단계에서 종료점을 결정하는 에칭 처리와 적절히 근사할 필요가 있다. 이 플라즈마 에칭 처리 런 중에, 발광 분석(OES) 데이터는 예를 들면 플라즈마 에칭 처리 시스템(10)의 광 검출 장치(34)를 이용하여 획득된다. 각각의 플라즈마 에칭 처리 런 중에 스펙트럼이 n회 획득되고, 여기에서 n은 1보다 큰 정수이다. 연속적인 발광 분석(OES) 데이터 획득, 즉, 스펙트럼 획득 간의 샘플링 간격은 0.01초로부터 1.0초까지 변할 수 있다. 각각의 획득된 발광 분석(OES) 데이터 집합, 즉 스펙트럼은 CCD(전하 결합 소자) 검출기의 m개의 픽셀에 대응하는 m개의 측정 광도를 포함하고, 각각의 픽셀은 광 검출 장치(34)에서 광 분산 소자로서 전형적으로 사용되는 회절 격자에 의해 픽셀에 투영되는 소정의 광 파장에 대응한다. CCD 검출기는 소망의 스펙트럼 해상도에 따라 256~8192개의 픽셀을 가질 수 있지만, 2048 또는 4096개의 픽셀 수가 가장 일반적으로 사용된다.
처리는 단계 215로 진행하여 발광 분석(OES) 데이터 행렬([X][i])(i=1, 2, ..., k)이 모든 에칭 처리 런에 대하여 구성된다. 각 행렬([X][i])은 n×m 행렬이고, 획득된 스펙트럼은 행들이 발광 분석(OES) 데이터가 취해질 때의 n개의 시간 인스턴트에 대응하고 열들이 픽셀 수 m에 대응하도록 행렬의 행에 배열된다.
단계 220에서, n×m 평균 발광 분석(OES) 데이터 행렬([Xavg])이 모든 i(=1, 2, ..., k)개의 플라즈마 에칭 처리 런에 대하여 모든 획득된 행렬([X][i])의 각 요소를 평균함으로써 산출된다.
단계 225에서, 노이즈가 상기 평균 발광 분석(OES) 데이터 행렬([Xavg])로부터 필터링된다. 예를 들면 이동 평균 필터와 같은 각종 유형의 필터가 사용될 수 있다. 또한, 데이터에서 조우되는 노이즈의 양에 따라서, 사용되는 플라즈마 에칭 처리 시스템, 에칭되는 구조물, 사용되는 에칭 처리 조건 등에 상관되는 선택된 필터에 대한 다른 파라미터들이 운용자에 의해 선택될 수 있다. 예를 들면, 이동 평균 필터의 경우에, 필터 창이 가장 적당한 폭으로 조정될 수 있고, 그래서 노이즈가 효과적으로 제거되고 중요한 신호 데이터가 유지된다. 본 발명자들은 종래의 발광 분석(OES) 에칭 종료점 시스템에서 관례적으로 해 온 것처럼 획득된 발광 분석(OES) 데이터의 다변량 모델을 구성한 후에 데이터를 필터링하기 보다는 이 단계에서 데이터를 필터링함으로써 더 좋은 결과를 얻을 수 있다는 것을 발견하였다.
단계 230에서, 모든 획득된 발광 분석(OES) 데이터 행렬([X][i])이 플라즈마 시동 중에 획득되고 옵션적으로 실제 에칭 처리 종료점을 따르는 스펙트럼을 제거하기 위해 잘려진다. 데이터를 잘라냄으로써, 행렬([X][i])은 플라즈마가 플라즈마 에칭 처리 시스템(10)에서 안정화된 때 계속하여 이루어지는 에칭의 안정된 기간에 속하지 않는 임의의 데이터가 소거된다. 소정의 시간 인스턴트에서 이루어진 측정이 잘려지기 때문에, 행렬([X][i])의 행들이 전형적으로 제거된다. 옵션적으로, 스펙트럼의 잘려진 부분의 플라즈마 방출 파장이 에칭 종료점 신호에 기여하지 않으면 소정의 파장 범위가 또한 잘려질 수 있다. 이 시점에서, 평균 발광 분석(OES) 데이터 행렬([Xavg])이 또한 행렬([X][i])과 동일한 방식으로 잘려질 수 있다.
단계 235에서, 중위 발광 분석(OES) 데이터 행렬([Savg])이 계산되고, 각 열의 모든 요소들이 평균 발광 분석(OES) 데이터 행렬([Xavg])의 요소들의 전체 열에 걸친(즉, 모든 시간 인스턴트에 걸친) 평균으로 설정된다. 이 행렬([Savg])은 모든 발광 분석(OES) 데이터의 탈중위화를 위해 사용된다.
단계 240에서, 중위 발광 분석(OES) 데이터 행렬([Savg])이, 획득된 발광 분석(OES) 데이터의 다변량 모델을 구성하기 전에, 탈중위화, 즉 평균 감산의 단계를 수행하기 위해 각각의 획득된 발광 분석(OES) 데이터 행렬([X][i])(i=1, 2, ..., k)로부터 감산된다. 종래의 발광 분석(OES) 에칭 종료점 시스템에서는 탈중위화 외에, 발광 분석(OES) 데이터가 또한 예를 들면 발광 분석(OES) 데이터의 표준편차를 이용하여 항상 정규화된다. 그러나, 전술한 데이터 필터링의 경우와 마찬가지로, 본 발명자들은 종래 기술에서 행하여진 정규화가 특히 난제의 검출 조건 하에서 신뢰성이 낮은 종료점 검출을 유도한다는 것을 발견하였다. 그러므로, 데이터는 비정규화된 채 유지된다.
단계 245에서, 탈중위화 발광 분석(OES) 데이터 [X][i] - [Savg]가 예를 들면 주성분 분석(PCA)과 같은 다변량 분석의 입력으로서 사용된다. 수학식 1과 같은 주성분(PC) 모델이 구성되고, 여기에서 벡터 [T]는 변환형 발광 분석(OES) 데이터 벡터를 표시한다.
[수학식 1]
[T] = ([X][i] - [Savg])[P]
벡터 T는 주성분이라고 부르는 요소(Ti)를 갖고, 이것은 입력 데이터, 이 경우에는 발광 분석(OES) 데이터가 함께 묘사될 수 있는 감소된 변수 집합을 표시한다. 벡터([P])는 주성분(PC) 가중치의 벡터이고, 이것은 탈중위화 발광 분석(OES) 데이터를 상기 수학식 1에 따라 변환형 발광 분석(OES) 데이터 벡터([T])로 변환하기 위해 사용할 수 있다. 주성분 분석(PCA) 모델을 구성하고 생성하는 방법은 이 기술 분야 업계에 잘 알려져 있다.
상기 제1 단계의 목표가 나중의 현장 에칭 종료점 검출을 위한 유용한 다변량 모델 파라미터를 미리 산출하는 것이기 때문에, 각종의 파라미터가 이제 나중의 사용을 위해 저장된다. 단계 250에서, 중위 발광 분석(OES) 데이터 행렬([Savg])은 현장 측정 발광 분석(OES) 데이터의 탈중위화를 촉진하기 위해 휘발성 또는 비휘발성 기억 매체에 저장된다. 또한, 이 단계에서, 주성분(PC) 가중치의 벡터([P])는 현장 측정 발광 분석(OES) 데이터의 변환형 발광 분석(OES) 데이터 벡터([T])로의 급속 변환을 촉진하기 위해 휘발성 또는 비휘발성 기억 매체에 저장된다.
일부 경우에, 본 발명자들은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소(Ti), 즉 주성분의 산출치를 시프트시키는 것이 종료점 검출 신뢰도를 위해 유용하다는 것을 발견하였다. 왜냐하면 상기 요소들은 요소들이 큰 양의 값 또는 음의 값으로 성장하기보다는 0의 값 주위로 집중하도록 시간에 따라 전개하기 때문이다. 이러한 시프팅은 단계 255에서 달성되고, 여기에서 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 적어도 하나의 요소(Ti)는 측정이 행하여진 때 에칭 처리 중의 각각의 시간 인스턴트에 대하여 평가되고, 그러한 요소 또는 요소들의 최소치(mim(Ti))가 구해진다. 이를 위해, 평균 발광 분석(OES) 데이터 행렬([Xavg])로부터의 시간 전개 데이터 또는 다른 데이터를 사용할 수 있다. 그 다음에 단계 260에서, 상기 최소치는 나중에 현장 종료점 검출시에 사용하도록 휘발성 또는 비휘발성 기억 매체에 저장되고, 이것에 의해 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소(Ti)의 최소치(mim(Ti))가 현장 측정 발광 분석(OES) 데이터로부터 산출된 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 동일 요소(Ti)의 시간 전개 값들을 시프트시키기 위해 사용될 수 있다.
휘발성 또는 비휘발성 기억 매체에 저장된 데이터 값들은 이제 제2 단계, 즉 현장 에칭 종료점 검출 단계에서 사용할 준비가 된다. 도 2의 흐름도(200)로 나타낸 전체 공정은 도 1의 플라즈마 에칭 처리 시스템(10)의 제어기(55)에서 실행될 수 있다.
도 3은 흐름도(200)의 단계 250 및 260에서 저장된 데이터를 이용하여, 광 검출 장치(34)를 구비한 플라즈마 에칭 처리 시스템(10)에서의 현장 종료점 검출 처리를 보인 흐름도(300)이다.
단계 310 및 315에서, 이전에 결정된 중위 발광 분석(OES) 데이터 행렬([Savg]) 및 주성분(PC) 가중치의 벡터([P])가 휘발성 또는 비휘발성 기억 매체로부터 검색되어 도 1의 플라즈마 에칭 처리 시스템(10)의 제어기(55)의 메모리에 로드된다. 제어기(55)는 플라즈마 처리의 종료점을 결정하는데 필요한 모든 현장 계산을 수행할 것이다. 또한, 만일 사용하고 있으면, 변환형 발광 분석(OES) 데이터 벡터([T])의 요소(Ti)의 적어도 하나의 최소치(mim(Ti))가 단계 320에서 휘발성 또는 비휘발성 기억 매체로부터 제어기(55)의 메모리에 로드될 수 있다.
단계 325에서, 기판(25)이 플라즈마 에칭 처리 시스템(10)에 로드되고 플라즈마가 처리 영역(45)에서 형성된다.
단계 330에서, 이제 광 검출 장치(34)를 이용하여 현장에서, 즉 시간에 따라 전개되는 에칭 처리 중에 발광 분석(OES) 데이터를 획득한다.
단계 335에서, 검색된 중위 발광 분석(OES) 데이터 행렬([Savg])이 이미 개발된 다변량 모델을 이용하여 변환하기 전에 상기 획득된 스펙트럼을 탈중위화하기 위해 각각의 획득된 발광 분석(OES) 데이터 집합, 즉 스펙트럼으로부터 감산된다. 전술한 바와 같이, 본 발명자들은 만일 변환 전에 데이터의 정규화가 이루어지지 않으면 종료점 검출 처리가 더 강력하다는 것을 발견하였고, 그래서, 상기 제1 단계에서 데이터의 정규화가 이루어지지 않은 것처럼 이 단계에서도 데이터의 정규화가 이루어지지 않는다.
단계 340에서, 이미 개발된 주성분 분석(PCA) 다변량 모델을 이용하여 탈중위화 발광 분석(OES) 데이터를 수학식 1 및 검색된 주성분(PC) 가중치의 벡터([P])를 이용하여 변환형 발광 분석(OES) 데이터 벡터([T]), 즉 주성분으로 변환한다. 이 처리는 단순한 곱셈만을 수반하기 때문에 매우 고속이고, 따라서 현장 실시간 계산에 적당하다. 변환형 발광 분석(OES) 데이터 벡터([T])의 상기 계산된 요소(Ti)는 이들이 시간에 따라 전개되기 때문에 종료점 검출을 위해 사용할 수 있다.
도 4a 내지 도 4d는 개방비(open ratio)가 0.06%의 낮은 값(이것은 발광 분석(OES)을 이용하여 종료점 검출을 하는데 있어서 매우 어려운 조건이다)을 갖는 에칭 처리에서 변환형 발광 분석(OES) 데이터 벡터([T])의 요소 T1~T4, 즉 최초 4개의 주성분의 시간 전개를 보인 것이다. 도 4a에서, 제1 주성분(T1)은, 발광 분석(OES) 데이터에 대한 통계상 대부분의 정보를 운반함에도 불구하고, (모든 그래프에서 30초보다 약간 더 큰 곳에 위치하는) 에칭 종료점 부근에서 식별가능한 변화를 보이지 않는다는 것을 알 수 있다. 도 4b는 제2 주성분(T2)이 종료점에서 식별가능한 최소치 또는 딥(410)을 갖고 있음을 보여주고 있다. 그러나 상기 딥(410)은 T2의 시간 전개에 있어서 다른 최소치에 필적하고, 따라서 주성분(T2)은 이러한 조건하에서 종료점 검출에 또한 매우 유용하지는 않다. 도 4c는 제3 주성분(T3)이 에칭 종료점에서 약간 유용한 최소치 또는 딥(420)을 갖고 있음을 보여주고 있고, 이것은 종료점 검출을 위해 사용될 수 있지만 데이터가 여기에서 보이는 것보다 더 산만(noisier)한 경우에 종료점 검출을 위해 단독으로 신뢰성 있게 사용될 수 있을지가 의문이다. 마지막으로, 도 4d는 주성분 T2와 유사한 제4 주성분(T4)이, 종료점에서의 최소치가 다른 최소치에 필적하기 때문에, 종료점의 신뢰성 있는 표시자가 아님을 보여준다. 대부분의 종래 발광 분석(OES) 에칭 종료점 시스템은 종료점 검출을 위해 단일의 주성분(Ti)을 활용한다.
전술한 것으로부터, 변환형 발광 분석(OES) 데이터 벡터([T])의 요소(Ti)들의 시간 전개 값들을 이용하는 종료점 검출의 추가적인 개선이 필요하다는 것을 알 수 있다. 본 발명자들은 복수의 주성분을 함수형(f(Ti))에 결합함으로써 더 좋고 더 신뢰성 있는 종료점 검출을 유도할 수 있다는 것을 발견하였다. 구체적으로, 본 발명자들은 (시프트 거리로서 주성분의 최소치의 2배를 이용하는) 시프트된 주성분을 수반하는 시간 전개 함수형, 즉 하기의 수학식 2가 도 4a 내지 도 4d에 도시된 것과 같은 에칭 조건을 사용할 때, 즉 낮은 개방비 구조물을 에칭할 때 특히 유용할 수 있다는 것을 발견하였다.
[수학식 2]
f(Ti) = (T2-2ㆍmin(T2))2/(T3-2ㆍmin(T3))2
복수의 주성분(Ti)을 수반하는 시간 전개 신호(f(Ti))는 이하에서 추세 변수라고 부를 것이다. 수학식 2의 검사는 주성분(Ti)이 변환형 발광 분석(OES) 데이터 벡터([T])의 요소(Ti)들의 검색된 최소치(min(Ti))를 추가로 이용하여 계산된 때 추세 변수(f(Ti))가 쉽게 효율적으로 현장에서 실시간으로 평가될 수 있음을 보여준다. 이 계산은 흐름도(300)의 단계 345에서 발생한다.
단계 350에서, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 각각의 시간 전개 요소(Ti)는 추세 변수 기울기 데이터를 이용한 종료점 검출을 더욱 촉진하기 위해 미분될 수 있다.
도 5는 도 4a-4d의 주성분(T1-T4)이 획득된 동일한 에칭 처리에 대한 수학식 2의 추세 변수(f(Ti))의 시간 전개를 보인 것이다. 수학식 2의 함수형(f(Ti))은 미분된 추세 변수(f(Ti))가 에칭 종료점을 통과하는 깊고 따라서 쉽게 식별되는 최소치(510) 때문에 단일 주성분(Ti)보다 양호하다는 것이 그래프로부터 매우 명확하다.
본 발명자들은 추세 변수(f(Ti))의 다른 함수형들이 덜 난제인 조건, 예를 들면 T2/T3, (T2/T3)2, (T2-2ㆍmin(T2))/(T3-2ㆍmin(T3)) 등에서 성공적으로 사용될 수 있다는 것을 또한 발견하였다. 이러한 함수형들은 대부분 종래 기술에서 행하는 것과 같이 주성분만을 단독으로 사용하는 것에 반대로 주성분들의 비율을 수반하고, 변화하는 시프트 거리를 이용하여 주성분 값들을 0에 가깝게 하며, 그래서 주성분 비율의 값은 용이한 종료점 검출을 위해 증가될 수 있다.
이제, 시간 전개 추세 변수(f(Ti))가 산출되었고, 플라즈마 에칭 처리 시스템(10)의 제어기(55)는 단계 355에서 종료점에 도달되었는지를 결정해야 한다. 만일 진정으로 도달하였으면, 에칭 처리는 단계 360에서 종료되고, 그렇지 않으면 에칭 처리를 계속하고 흐름도(300)의 단계 330-355를 통해 에칭 종료점을 계속하여 모니터링한다.
이 기술에 숙련된 사람이라면 전술한 설명에 비추어 많은 수정 및 변형이 가능하다는 것을 이해할 것이다. 이 기술에 숙련된 사람이라면 도면에 도시된 각종 컴포넌트에 대한 균등적 결합 및 대체를 인식할 것이다. 그러므로, 본 발명의 범위는 전술한 설명에 의해 제한되지 않고 여기에 첨부된 특허 청구범위에 의해서만 제한되는 것으로 한다.

Claims (19)

  1. 에칭 처리 종료점 데이터를 결정하는 방법에 있어서,
    플라즈마 에칭 처리 도구에서 k개(k는 0보다 큰 정수임)의 플라즈마 에칭 처리 런들을 수행하는 단계로서, 상기 k개의 플라즈마 에칭 처리 런들은 각각,
    상이한 광 파장에 각각 대응하는 m개의 픽셀들을 포함한 검출기를 구비한 분광계를 포함하는 플라즈마 에칭 처리 도구에 처리될 기판을 적재하는 단계;
    상기 플라즈마 에칭 처리 도구에서 플라즈마를 점화하는 단계;
    k개의 플라즈마 에칭 처리 런들의 각각의 런 중에 동일한 시간 간격으로 샘플링된 n개의 발광 분석(optical emission spectroscopy; OES) 데이터 집합들 - n개의 발광 분석(OES) 데이터 집합 각각은 분광계의 m개의 픽셀들에 대응하는 m개의 픽셀 강도들을 포함함 - 을 수집하는 단계; 및
    k개의 플라즈마 에칭 처리 런들 각각에 대한 n×m 발광 분석(OES) 데이터 행렬([X])을 형성하는 단계를 포함하고, 각각의 시간 샘플은 상기 발광 분석(OES) 데이터 행렬([X])의 행을 점유하고 상기 발광 분석(OES) 데이터 행렬([X])의 열들은 상기 분광계의 픽셀들에 대응하는 것인, 상기 k개의 플라즈마 에칭 처리 런들을 수행하는 단계;
    n×m 평균 발광 분석(OES) 데이터 행렬([X]avg) - 상기 평균 발광 분석(OES) 데이터 행렬([X]avg)의 각 요소는 상기 k개의 에칭 처리 런들에 대한 발광 분석(OES) 데이터 행렬들([X])의 요소들의 평균으로서 계산됨 - 을 계산하는 단계;
    상기 평균 발광 분석(OES) 데이터 행렬([X]avg)로부터 노이즈를 필터링하는 단계;
    플라즈마 시동 중에 및 에칭 처리 종료점을 넘는 시간에 대하여 획득된 발광 분석(OES) 데이터를 제거하기 위해 각각의 발광 분석(OES) 데이터 행렬([X])을 잘라내는(truncate) 단계;
    플라즈마 시동 중에 및 상기 에칭 처리 종료점을 넘는 시간에 대하여 획득된 평균화 발광 분석(OES) 데이터를 제거하기 위해 상기 평균 발광 분석(OES) 데이터 행렬([X]avg)을 잘라내는 단계;
    n×m 중위(mean) 발광 분석(OES) 데이터 행렬([Savg]) - 상기 중위 발광 분석(OES) 데이터 행렬([Savg])의 각 열의 각 요소는 상기 평균 발광 분석(OES) 데이터 행렬([X]avg)의 열에 대한 상기 평균 발광 분석(OES) 데이터 행렬([X]avg)의 n개의 픽셀 강도들 각각의 평균으로서 계산됨 - 을 계산하는 단계;
    발광 분석(OES) 데이터를 탈중위화(de-mean)하기 위해 발광 분석(OES) 데이터 행렬([X])로부터 상기 중위 발광 분석(OES) 데이터 행렬([Savg])을 감산하고, 변환형 발광 분석(OES) 데이터 벡터([T]) 및 주성분(principal component) 가중치 벡터([P])를 얻기 위해 상기 탈중위화 및 비정규화 감산 결과에 대해 주성분 분석([T] = ([X][i]-[Savg])[P])을 수행하는 단계;
    나중에 에칭 처리 종료점의 현장(in-situ) 결정시에 사용하기 위해 상기 중위 발광 분석(OES) 데이터 행렬([Savg])을 저장하는 단계; 및
    나중에 상기 에칭 처리 종료점의 현장(in-situ) 결정시에 사용하기 위해 상기 주성분 가중치 벡터([P])를 저장하는 단계를 포함한, 에칭 처리 종료점 데이터를 결정하는 방법.
  2. 제1항에 있어서, 상기 노이즈를 필터링하는 단계는 이동 평균 필터를 적용하는 단계를 포함한 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  3. 제1항에 있어서, 상기 노이즈를 필터링하는 단계는 특성이 플라즈마 에칭 처리 도구에 특유한 필터를 적용하는 단계를 포함한 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  4. 제1항에 있어서, 상기 에칭 처리 종료점의 현장 결정을 위한 추세 변수로서 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소들을 수반하는 함수형(functional form)(f(Ti))을 선택하는 단계를 더 포함한, 에칭 처리 종료점 데이터를 결정하는 방법.
  5. 제4항에 있어서, 상기 추세 변수의 상기 선택된 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 단일 요소인 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  6. 제4항에 있어서, 상기 추세 변수의 상기 선택된 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 2개의 요소들의 비율을 포함한 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  7. 제4항에 있어서,
    상기 변환형 발광 분석(OES) 데이터 벡터([T])의 적어도 하나의 요소의 시간 전개(evolution)를 계산하는 단계;
    상기 변환형 발광 분석(OES) 데이터 벡터([T])의 적어도 하나의 요소의 상기 계산된 시간 전개로부터 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 적어도 하나의 최소치(min(Ti))를 결정하는 단계; 및
    상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소의 상기 적어도 하나의 결정된 최소치(min(Ti))를 나중에 상기 에칭 처리 종료점의 현장 결정시에 사용하기 위해 저장하는 단계를 더 포함한, 에칭 처리 종료점 데이터를 결정하는 방법.
  8. 제7항에 있어서, 상기 추세 변수의 상기 선택된 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 동일 요소의 최소치(min(Ti))의 2배가 감산된 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소인 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  9. 제5항에 있어서, 상기 추세 변수의 상기 선택된 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 2개의 요소의 비율을 포함하고, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 각 요소의 최소치(min(Ti))의 2배가 상기 비율을 계산하기 전에 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 각 요소로부터 감산된 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  10. 제9항에 있어서, 상기 추세 변수의 상기 선택된 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 제2 및 제3 요소를 수반하고 f(Ti) = (T2-2ㆍmin(T2))2/(T3-2ㆍmin(T3))2의 형태를 갖는 것인, 에칭 처리 종료점 데이터를 결정하는 방법.
  11. 에칭 처리 종료점 데이터의 현장 결정 방법에 있어서,
    미리 산출되어 저장된 중위 발광 분석(OES) 데이터 행렬([Savg])을 제공하는 단계;
    미리 산출되어 저장된 주성분 가중치 벡터[P]를 제공하는 단계;
    플라즈마 에칭 처리 도구에 기판을 적재하는 단계;
    에칭 처리를 개시하도록 상기 플라즈마 에칭 처리 도구에서 플라즈마를 점화하는 단계;
    상기 에칭 처리 중에 미리 정해진 시간 간격으로 상기 플라즈마 에칭 처리 도구 상의 분광계로부터 발광 분석(OES) 데이터 집합을 획득하는 단계;
    각각의 획득된 발광 분석(OES) 데이터 집합을 탈중위화하기 위해, 각각의 획득된 발광 분석(OES) 데이터 집합으로부터 상기 미리 제공된 중위 발광 분석(OES) 데이터 행렬([Savg])을 감산하는 단계;
    상기 미리 제공된 주성분 가중치 벡터[P]를 이용하여 변환형 발광 분석(OES) 데이터 벡터([T])의 적어도 하나의 요소를 산출함으로써, 각각의 획득되고 탈중위화되며 비정규화된 발광 분석(OES) 데이터 집합을 변환형 발광 분석(OES) 데이터로 변환하는 단계;
    상기 변환형 발광 분석(OES) 데이터 벡터([T])의 상기 산출된 적어도 하나의 요소로부터 추세 변수(f(Ti))를 추가로 산출하는 단계; 및
    상기 에칭 처리 중에 상기 추세 변수(f(Ti))의 상기 산출된 값으로부터 현장에서 상기 에칭 처리의 종료점을 검출하는 단계를 포함한, 에칭 처리 종료점 데이터의 현장 결정 방법.
  12. 제11항에 있어서, 종료점 검출시에 상기 에칭 처리를 정지하는 단계를 더 포함한, 에칭 처리 종료점 데이터의 현장 결정 방법.
  13. 제11항에 있어서, 상기 추세 변수(f(Ti))의 함수형은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 산출된 단일 요소인 것인, 에칭 처리 종료점 데이터의 현장 결정 방법.
  14. 제11항에 있어서, 상기 추세 변수(f(Ti))의 함수형은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 2개의 산출된 요소들의 비율을 포함한 것인, 에칭 처리 종료점 데이터의 현장 결정 방법.
  15. 제11항에 있어서, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 적어도 하나의 요소의 미리 산출되어 저장된 최소치(min(Ti))를 제공하는 단계를 더 포함한, 에칭 처리 종료점 데이터의 현장 결정 방법.
  16. 제15항에 있어서, 상기 추세 변수(f(Ti))의 함수형(f(Ti))은, 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 동일 요소의 최소치(min(Ti))의 2배가 감산된 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 요소인 것인, 에칭 처리 종료점 데이터의 현장 결정 방법.
  17. 제15항에 있어서, 상기 추세 변수의 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 2개의 요소들의 비율이고, 상기 비율을 계산하기 전에 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 각 요소의 최소치(min(Ti))의 2배가 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 각 요소로부터 감산된 것인, 에칭 처리 종료점 데이터의 현장 결정 방법.
  18. 제17항에 있어서, 상기 추세 변수의 함수형(f(Ti))은 상기 변환형 발광 분석(OES) 데이터 벡터([T])의 제2 및 제3 요소를 수반하고 f(Ti) = (T2-2ㆍmin(T2))2/(T3-2ㆍmin(T3))2의 형태를 갖는 것인, 에칭 처리 종료점 데이터의 현장 결정 방법.
  19. 제11항에 있어서, 상기 에칭 처리의 종료점을 검출하는 단계는 상기 에칭 처리 중에 상기 추세 변수(f(Ti))의 미분을 평가하고 상기 미분된 추세 변수(f(Ti))로부터 상기 에칭 처리의 종료점을 검출하는 단계를 포함한 것인, 에칭 처리 종료점 데이터의 현장 결정 방법.
KR1020157012887A 2012-10-17 2013-10-17 다변량 분석을 이용한 플라즈마 에칭 종료점 검출 KR101780874B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261715047P 2012-10-17 2012-10-17
US61/715,047 2012-10-17
PCT/US2013/065378 WO2014062886A1 (en) 2012-10-17 2013-10-17 Plasma etching endpoint detection using multivariate analysis

Publications (2)

Publication Number Publication Date
KR20150070355A KR20150070355A (ko) 2015-06-24
KR101780874B1 true KR101780874B1 (ko) 2017-09-21

Family

ID=50475680

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157012887A KR101780874B1 (ko) 2012-10-17 2013-10-17 다변량 분석을 이용한 플라즈마 에칭 종료점 검출

Country Status (6)

Country Link
US (2) US9330990B2 (ko)
JP (1) JP6033453B2 (ko)
KR (1) KR101780874B1 (ko)
CN (1) CN104736744B (ko)
TW (1) TWI518525B (ko)
WO (1) WO2014062886A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825666B2 (en) 2018-08-27 2020-11-03 Samsung Electronics Co., Ltd. Plasma monitoring apparatus and plasma processing system
US11538722B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
KR20230161265A (ko) 2022-05-18 2023-11-27 숭실대학교산학협력단 커널 밀도 추정을 이용한 플라즈마 식각공정 종료점 검출 장치 및 방법

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275916B2 (en) 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
JP6650258B2 (ja) 2015-12-17 2020-02-19 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US10386828B2 (en) * 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US20170287791A1 (en) * 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10453653B2 (en) * 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10490462B2 (en) 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
US10365212B2 (en) * 2016-11-14 2019-07-30 Verity Instruments, Inc. System and method for calibration of optical signals in semiconductor process systems
KR20190121864A (ko) * 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
US10319649B2 (en) * 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
JP7342036B2 (ja) 2018-05-12 2023-09-11 ドライブネッツ リミテッド Ospfコスト・メトリック・ミラーリングの為の装置及びシステム
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
WO2020191134A1 (en) * 2019-03-19 2020-09-24 Momentum Optics Thermally guided chemical etching of a substrate and real-time monitoring thereof
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
GB201916079D0 (en) * 2019-11-05 2019-12-18 Spts Technologies Ltd Apparatus and method
JP7413081B2 (ja) * 2020-02-28 2024-01-15 東京エレクトロン株式会社 基板処理システム
KR102375527B1 (ko) * 2020-06-30 2022-03-18 주식회사 프라임솔루션 다채널 광스펙트럼 분석을 위한 머신러닝 모델을 이용한 플라즈마 식각공정 진단장치 및 이를 이용한 플라즈마 식각공정 진단방법
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
US11437289B2 (en) * 2020-09-30 2022-09-06 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer
US20240035154A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Fluorine based cleaning for plasma doping applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001521280A (ja) 1997-10-23 2001-11-06 マサチューセッツ インスティテュート オブ テクノロジー プラズマ放射スペクトルの多変量統計分析を伴うプラズマ処理モニタ
JP2005197503A (ja) 2004-01-08 2005-07-21 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2008505493A (ja) 2004-06-30 2008-02-21 ラム リサーチ コーポレーション プラズマ処理システムのエンドポイント決定法および決定装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (ko) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6419846B1 (en) * 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
US7297287B2 (en) * 2001-03-23 2007-11-20 Tokyo Electron Limited Method and apparatus for endpoint detection using partial least squares
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
JP4640828B2 (ja) * 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN100568448C (zh) * 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7427519B2 (en) * 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
JP5192850B2 (ja) * 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
JP5383265B2 (ja) * 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
US8173451B1 (en) * 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001521280A (ja) 1997-10-23 2001-11-06 マサチューセッツ インスティテュート オブ テクノロジー プラズマ放射スペクトルの多変量統計分析を伴うプラズマ処理モニタ
JP2005197503A (ja) 2004-01-08 2005-07-21 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2008505493A (ja) 2004-06-30 2008-02-21 ラム リサーチ コーポレーション プラズマ処理システムのエンドポイント決定法および決定装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825666B2 (en) 2018-08-27 2020-11-03 Samsung Electronics Co., Ltd. Plasma monitoring apparatus and plasma processing system
US11538722B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
US11538723B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
KR20230161265A (ko) 2022-05-18 2023-11-27 숭실대학교산학협력단 커널 밀도 추정을 이용한 플라즈마 식각공정 종료점 검출 장치 및 방법

Also Published As

Publication number Publication date
CN104736744A (zh) 2015-06-24
WO2014062886A1 (en) 2014-04-24
US10002804B2 (en) 2018-06-19
US20160172258A1 (en) 2016-06-16
CN104736744B (zh) 2017-06-06
US20140106477A1 (en) 2014-04-17
JP2015532544A (ja) 2015-11-09
JP6033453B2 (ja) 2016-11-30
US9330990B2 (en) 2016-05-03
TW201435621A (zh) 2014-09-16
TWI518525B (zh) 2016-01-21
KR20150070355A (ko) 2015-06-24

Similar Documents

Publication Publication Date Title
KR101780874B1 (ko) 다변량 분석을 이용한 플라즈마 에칭 종료점 검출
JP4468366B2 (ja) 半導体製造過程の間にプロセスシステムをモニタする方法
US7127358B2 (en) Method and system for run-to-run control
US6972840B1 (en) Method of reducing process plasma damage using optical spectroscopy
US20040004708A1 (en) Method and system for data handling, storage and manipulation
US10910201B1 (en) Synthetic wavelengths for endpoint detection in plasma etching
JP2007531054A (ja) マスクをエッチングするためのシステムおよび方法
US8048326B2 (en) Method and apparatus for determining an etch property using an endpoint signal
US6825920B2 (en) Method and system of determining chamber seasoning condition by optical emission
US8464741B2 (en) Flow control method for multizone gas distribution
US7297560B2 (en) Method and apparatus for detecting endpoint
US10541184B2 (en) Optical emission spectroscopic techniques for monitoring etching
US7211196B2 (en) Method and system of discriminating substrate type

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right