CN108138336A - 用于预清洁导电互连结构的方法 - Google Patents

用于预清洁导电互连结构的方法 Download PDF

Info

Publication number
CN108138336A
CN108138336A CN201680057628.2A CN201680057628A CN108138336A CN 108138336 A CN108138336 A CN 108138336A CN 201680057628 A CN201680057628 A CN 201680057628A CN 108138336 A CN108138336 A CN 108138336A
Authority
CN
China
Prior art keywords
substrate
processing
exposed
alcohols
conductive material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680057628.2A
Other languages
English (en)
Other versions
CN108138336B (zh
Inventor
谢祥金
刘风全
大平·姚
亚历山大·詹森
李靖珠
阿道夫·米勒·艾伦
唐先民
张镁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108138336A publication Critical patent/CN108138336A/zh
Application granted granted Critical
Publication of CN108138336B publication Critical patent/CN108138336B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • C23G5/02Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents
    • C23G5/04Apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • B08B9/027Cleaning the internal surfaces; Removal of blockages
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0039Coated compositions or coated components in the compositions, (micro)capsules
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • C23G5/02Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents
    • C23G5/032Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents containing oxygen-containing compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/106Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by boiling the liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/24Cleaning or pickling metallic material with solutions or molten salts with neutral solutions
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/005Repairing methods or devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/90Coating; Surface treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Optics & Photonics (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供用于处理基板的方法。在一些实施方式中,一种处理基板的方法,包括以下步骤:将设置于基板处理腔室的处理容积内的基板加热到达约400摄氏度的温度,其中基板包括暴露的导电材料;和将基板暴露于处理气体,以还原导电材料的受污染表面,处理气体包括约80重量%至约100重量%的醇类蒸气。在一些实施方式中,基板进一步包括第一表面,所述第一表面具有形成于第一表面中的开口,其中暴露的导电材料系为设置于基板中并与开口对准的导电材料的一部分,以使得设置于基板中的导电材料的一部分经由开口而暴露。

Description

用于预清洁导电互连结构的方法
技术领域
本公开内容的实施方式大体涉及处理基板的方法,具体地为预清洁导电互连结构的方法。
背景技术
在集成电路(IC)的互连结构的制造中,形成于过孔(via)底部的导电表面(诸如铜表面或钴表面)通常被在过孔开口和随后的金属硬掩模移除期间形成的蚀刻副产物和残留物污染。尽管可藉由随后的干式清洁处理移除大尺寸的颗粒,但无法藉由干式清洁处理移除来自蚀刻相关元素(诸如氧、氟和碳)或由于导电互连表面暴露于空气所产生的氧化物的原子级污染。
通常,氟、氧和碳污染物可藉由湿式清洁方法移除。然而,发明人已观察到,由于与低k电介质的相容性(compatibility)问题以及最近对低k损伤的更严格要求(例如,碳耗尽问题),这些技术无法用于较新的线后端(back end of the line,BEOL)处理。热或温和的干式清洁通常用于后过孔蚀刻,以通过更平缓的处理改善电子性能。
因此,发明人已开发改良技术,以还原导电互连表面。
发明内容
本文提供用于处理基板的方法。在一些实施方式中,一种处理基板的方法,包括以下步骤:将设置于基板处理腔室的处理容积内的基板加热到达约400摄氏度的温度,其中基板包括暴露的导电材料;和将基板暴露于处理气体,以还原导电材料的受污染表面,处理气体包括约80重量%至约100重量%的醇类蒸气。在一些实施方式中,基板进一步包括第一表面,第一表面具有形成于第一表面中的开口,且其中导电材料设置于基板中并与所述开口对准,以使得导电材料的一部分经由开口而暴露。
在一些实施方式中,一种处理基板的方法,包括以下步骤:将设置于基板处理腔室的处理容积中的基板加热到达约400摄氏度的温度,其中基板包括第一表面和开口,所述开口形成于第一表面中并朝向相对的第二表面延伸,第二表面具有设置于第二表面中并与开口对准的铜材料;和将基板暴露于约80重量%至约100重量%的醇类,以还原铜材料的受污染表面,其中醇类具有分子式CnH2n+1-OH,且其中n为整数。
在一些实施方式中,提供一种具有储存其上的指令的计算机可读介质,当执行这些指令时使得处理腔室执行用于处理基板的方法。所述方法可包括本文所披露的任何方法。
本发明的其他和进一步实施方式将描述于下。
附图说明
可通过参照描绘于附图中的本公开内容的说明性实施方式来理解上文简要概述且下文更详细论述的本公开内容的实施方式。然而,附图仅绘示本公开内容的典型实施方式,因此不应视为对本公开内容范围的限制,因为本公开内容可允许其他同等有效的实施方式。
图1图示根据本公开内容一些实施方式的基板处理系统的示意图。
图2A-F图示根据本公开内容一些实施方式的处理基板的阶段。
图3图示根据本公开内容一些实施方式的适于执行用于处理基板的方法的群集工具。
图4图示根据本公开内容一些实施方式的用于处理基板的方法的流程图。
为促进理解,已尽可能地使用相同的参考标记来标示各图共有的相同元件。为了清楚起见,各图未按比例绘制且可进行简化。一个实施方式的元件和特征可有利地并入其他实施方式,而无需进一步详述。
具体实施方式
本文提供用于处理基板的方法。本发明方法有利地促进还原基板上暴露的导电表面。在一些实施方式中,暴露的导电表面可以是形成于低k材料的导电互连表面,可提供还原且同时维持IC互连结构的低k完整性(low-k integrity)、导电性和可靠性。如本公开内容中所使用的术语“还原(reduce)”、“还原(reducing)”、或“还原(reduction)”是指经由化学反应从表面(例如叙述于下的受污染表面224)部分或完全移除氧。本发明方法可用于集成电路中的金属互连的形成,或用于金属栅极或金属接触间隙填充处理的形成,以及可执行金属还原的其他合适的应用。
图4图示根据本公开内容一些实施方式的用于处理基板的方法400的流程图。方法400针对如图2A-2F所示的处理基板的阶段示例性地描述于下,并可例如在合适的反应器中执行,诸如针对图4描述于下的。可用于执行本文所披露的方法的示例性处理系统可包括但不限于,可从California(加利福尼亚)州的Santa Clara(圣克拉拉)市的AppliedMaterials,Inc.(应用材料公司)商业取得的处理系统的线中的任一者。包括可从其他制造商取得的其他处理腔室亦可结合本文提供的教导而适当地使用。
如图2A所示,方法400可示例性地执行于基板200上,基板200具有开口202,开口202形成于基板200的第一表面204中并朝向基板200的相对第二表面206而延伸进入基板200。基板200可以是具有形成于基板200中的开口202的任何合适的基板。举例而言,基板200可包括介电材料、硅(Si)、金属、或类似物中之一或更多者。此外,基板200可包括附加材料层,或可具有形成于基板200中或基板200上的一个或更多个完整的或部分完整的结构。举例而言,基板200可包括第一介电层212,诸如氧化硅、低k材料(例如,具有介电常数小于氧化硅或小于约3.9的材料)、或类似物。开口202可形成于第一介电层212中。在一些实施方式中,第一介电层212可设置于第二介电层214顶上,所述第二介电层214诸如氧化硅、氮化硅、碳化硅或类似物。导电材料(例如,导电材料220)(诸如铜或钴)可设置于第二介电层214中,并可与开口202对准,而使得开口在填有导电材料时提供往返导电材料的电路径。举例而言,导电材料可以是互连所耦接的线或过孔的一部分。
开口202可以是任何开口,例如过孔、沟槽、双镶嵌结构或类似物。在一些实施方式中,开口202的高度与宽度的深宽比(aspect ratio)可为约4:1或更大(例如,高深宽比)。可藉由使用任何合适的蚀刻处理蚀刻基板200而形成开口202。由于形成开口202,导电材料220形成受污染表面224。受污染表面224包括氧化表面。举例而言,在导电材料220为铜或钴的实施方式中,受污染表面224分别为氧化铜(CuO)或氧化钴(CoO)。可替代地,导电材料可以是具有来自其他基板处理的受污染表面的暴露导电材料,而不一定需要通过覆盖层中的开口暴露。
在一些实施方式中,可藉由在第一介电层212之上形成图案化掩模层,以将开口202蚀刻进入第一介电层212,而到导电材料220的表面(例如顶表面),以形成开口202。图案化掩模层可以是任何合适的掩模层,诸如硬掩模或光刻胶层(photoresist layer)。可藉由适于形成能够提供用于限定其下方的第一介电层212的图案的适当模板的图案化掩模层的任何处理而形成图案化掩模层。举例而言,在一些实施方式中,可经由图案化的蚀刻处理而形成图案化掩模层。在一些实施方式中,开口202可以是沟槽或过孔。可经由适于蚀刻介电材料以形成具有垂直或实质上垂直的侧壁的开口202的任何蚀刻处理来蚀刻开口202。举例而言,基板200可暴露于使用含卤素气体所形成的蚀刻等离子体,例如含氟气体,诸如四氟化碳(CF4)、三氟甲烷(CHF3)、八氟环丁烷(C4F8)、六氟丁二烯(C4F6)、三氟化氮(NF3)、六氟化硫(SF6)、或类似物。
方法400开始于402,将基板200加热到达约400摄氏度的温度。在一些实施方式中,将基板200加热到约250摄氏度至约350摄氏度的温度。发明人已观察到,将基板200加热到达约400摄氏度的温度(例如约250摄氏度至约350摄氏度)提供下面描述的处理气体的活化能,以与受污染表面224反应。此外,将基板200加热到上述温度防止基板200上的有机残留物的堆积和水冷凝的形成。可使用任何合适的加热机构来加热基板200,诸如嵌入于基板支撑架内的基板加热器。
接下来,在404处,且如图2B所示,将基板200暴露于包括达100重量%的醇类的处理气体222。在一些实施方式中,处理气体222包括约80重量%至约100重量%的醇类,诸如约95重量%。在一些实施方式中,处理气体222由约100重量%的醇类组成,或基本上由约100重量%的醇类组成。在一些实施方式中,处理气体222由醇类和任选的氢自由基或氢自由基与一种或更多种惰性气体的混合物组成,或基本上由醇类和任选的氢自由基或氢自由基与一种或更多种惰性气体的混合物组成。举例而言,在一些实施方式中,处理气体222以由上述任何百分比的醇类以及其余为氢自由基或氢自由基与一种或更多种惰性气体元素的混合物组成,或基本上由上述任何百分比的醇类以及其余为氢自由基或氢自由基与一种或更多种惰性气体元素的混合物组成。
举例而言,在一些实施方式中,将100重量%的醇类提供到处理容积中以与基板200互相作用。因此,可将基板200暴露于100重量%的醇类。在一些实施方式中,可将氢自由基或氢自由基与一种或更多种惰性气体元素的混合物(例如,氢自由基的混合物)提供到处理容积,而可与达100重量%的醇类混合。在一些实施方式中,在将基板暴露于达100重量%的醇类之前,可将氢自由基或氢自由基的混合物提供到处理容积。在一些实施方式中,可将氢自由基或氢自由基的混合物与达100重量%的醇类同时提供到处理容积,以使得基板同时暴露于达100重量%的醇类和氢自由基或氢自由基的混合物。
在一些实施方式中,醇类包括具有羟基(OH)官能团的有机化合物。在一些实施方式中,醇类具有化学式CnH2n+1-OH,其中n为整数。在一些实施方式中,醇类为甲醇(CH3OH)、乙醇(C2H5OH)、异丙醇(C3H7OH)、或丁醇(C4H9OH)中之一或更多者。
被汽化的(vaporized)醇类与受污染表面224反应以产生可从处理腔室泵出的挥发性副产物。举例而言,经由下述反应进行被汽化的乙醇与氧化铜的受污染表面224的反应,以形成可从处理腔室排空的二氧化碳(CO2)、醛和水蒸气(H2O)的挥发性副产物,而留下导电材料220的未污染表面。
[1]12CuO(s)+CH3CH2OH-->6Cu2O(s)+2CO2+3H2O
[2]6Cu2O(s)+CH3CH2OH-->12Cu(s)+2CO2+3H2O
如图2C所示,将基板200以上述基板温度暴露于包括达100重量%的醇类(诸如乙醇)的处理气体222,有利地还原在导电材料220顶上形成的受污染表面224,同时维持IC互连结构的低k完整性、导电性和可靠性。此外,醇类处理有助于将受损的亲水性低k表面恢复到疏水状态,并减少或防止低k表面的碳损耗。举例而言,发明人已观察到,方法400可有利地大幅减少或完全消除低k表面的碳损耗。
在一些实施方式中,液态醇类储存于耦接到处理腔室的安瓿(ampoule)中。将安瓿加热到预定温度(例如约20摄氏度至约50摄氏度),以使醇类汽化。将被汽化的醇类经由真空抽吸处理提供给处理腔室的处理容积。真空抽吸处理有利地允许将高浓度的被汽化的醇类(例如达100重量%的醇类)引入处理腔室的处理容积中,而不需利用载流气体稀释处理气体或使用机械流动机构(mechanical flow mechanism)。暴露于处理气体期间的处理腔室的处理容积的一般处理条件包括处理腔室中的压力为约0.1托(Torr)至约30托,或在一些实施方式中为约0.6托至约3托。
发明人已观察到,暴露于浓缩的处理气体以及上述方法400所使用的压力和温度范围有利地减少用于还原导电材料220的受污染表面224的暴露时间。举例而言,在以上所提供的浓度、压力和温度范围中,暴露于处理气体达约120秒、或约30秒至约120秒、或达约60秒足以还原受污染表面224。此外,上述温度和压力范围有利地改良还原处理的挥发性副产物的释放。
在如图2D-2F所示的一些实施方式中,除了上述受污染表面224之外,开口202的形成(或其他基板处理)导致在导电材料220顶上形成污染物层208,污染物层208包括蚀刻相关元素(诸如氧、氟和碳)。在此类实施方式中,方法400可进一步包括将氢自由基提供到处理腔室的处理容积,以蚀刻污染物层208,并允许处理气体222与上述受污染表面224反应。在一些实施方式中,如上述方法400中所述,在移除污染物层208之前,将基板200暴露于氢自由基,随后暴露于处理气体222。在一些实施方式中,如图2E所示,将氢自由基226提供到处理容积,并同时将基板200暴露于处理气体222。以上述方法400中所述的方式,随着氢自由基226蚀刻污染物层208,处理气体222还原受污染表面224,直到如图2F所示的导电材料220没有污染物层208和污染物材料208。
在方法400之后,基板200可经历附加处理,以完成互连的形成,诸如沉积处理(例如,阻挡层、种晶层和导电填充材料的沉积)和蚀刻/清洁处理(如CMP)。
本文所述的方法可在独立处理腔室中执行,独立处理腔室可提供于单独配置中(诸如图1所述的处理腔室72),或作为一个或更多个群集工具的一部分,例如下面图3所述的集成工具(integrated tool)300(亦即,群集工具)。在一些实施方式中,处理上述基板的方法400可在独立处理腔室(例如,处理腔室72)中执行,独立处理腔室提供为单独腔室或作为群集工具的一部分。
集成工具300的实例包括可从California的Santa Clara的Applied Materials公司取得的集成工具。然而,可使用具有耦接其上的适合处理腔室或在其他合适的处理腔室中的其他群集工具实施本文所述的方法。举例而言,在一些实施方式中,上述发明方法可以有利地在集成工具中执行,而使得处理步骤之间存在有限的真空断流(vacuum break)或没有真空断流。
集成工具300可包括一个或更多个负载锁定腔室306A、306B,用于将基板传送进出集成工具300。通常,由于集成工具300处于真空下,因此负载锁定腔室306A、306B可“泵吸(pump down)”引入集成工具300的基板。第一机械手310可在负载锁定腔室306A、306B与一个或更多个基板处理腔室312、314、316、318(图示4个)的第一组之间传送基板。可配备每一基板处理腔室312、314、316、318,以执行多个基板处理操作,除了物理气相沉积处理(PVD)、原子层沉积(ALD)、化学气相沉积(CVD)、预清洁、热处理/除气、定向(orientation)和其他基板处理之外,亦包括上述方法400。
第一机械手310亦可将基板传送进/出一或更多个中间传送腔室322、324。中间传送腔室322、324可用于维持超高真空条件,同时允许基板在集成工具300内传送。第二机械手330可在中间传送腔室322、324与一个或更多个基板处理腔室332、334、336、338的第二组之间传送基板。类似于基板处理腔室312、314、316、318,可配备基板处理腔室332、334、336、338,以执行各种基板处理操作,例如除了原子层沉积(ALD)、化学气相沉积(CVD)、预清洁、热处理/除气和定向之外,亦包括本文所述的物理气相沉积处理。针对由集成工具300执行的具体处理,若不需要,则可从集成工具300移除基板处理腔室312、314、316、318、332、334、336、338中的任一者。
图1图示根据本公开内容一些实施方式的基板处理系统。举例而言,在一些实施方式中,基板处理系统可以是预清洁腔室,诸如可从California的Santa Clara的AppliedMaterials公司取得的Preclean II腔室。其他处理腔室亦可根据本文所提供的技术而修改。一般而言,基板处理系统(亦即系统40)包括处理腔室72,处理腔室72具有第一容积73和第二容积75。第一容积73可包括处理腔室72的一部分,以接收(如,引入或形成)等离子体77。第二容积75可包括处理腔室72的一部分,以利用来自等离子体77的反应物处理基板。举例而言,基板支撑件42可设置于处理腔室72的第二容积75内。等离子体过滤器89可设置于处理腔室72中,并在第一容积73与第二容积75之间,以使得形成于第一容积73中的等离子体77(或从等离子体77所形成的反应物)可仅穿过等离子体过滤器89而到达第二容积75。
系统40可包括耦接至处理腔室的气体入口76,以提供可用于在第一容积中形成等离子体77的一种或更多种处理气体。气体排气装置78可耦接至处理腔室72,例如在包括第二容积75的处理腔室72的下部。在一些实施方式中,RF功率源74可耦接至感应线圈98,以在处理腔室72内产生等离子体77。可替代地(未示出),可藉由如远程等离子体源或类似物而远程地产生等离子体,并使等离子体流入处理腔室的第一容积73中。在一些实施方式中,功率源80可耦接至基板支撑件42,以在离子通量出现于基板支撑件42的表面上时,控制到基板54的离子通量。举例而言,系统40可包括控制器110,以控制系统40的一个或更多个部件而执行基板54上的操作。其他或进一步的部件和系统40将叙述于下。
处理腔室72包括壁82、底部84和顶部86。介电盖88可设置于顶部86下方和处理配件(process kit)90上方,处理配件90耦接至处理腔室72,并经配置以保持等离子体过滤器89。介电盖88可如图1所示为拱形(dome-shaped)。介电盖88由介电材料(诸如玻璃或石英)制成,且一般为可替换部分而可在系统40中处理一定数量的基板之后进行替换。感应线圈98可设置在介电盖88周围,并耦接至RF功率源74,以感应地将RF功率耦接至第一容积73,以在第一容积73内形成等离子体77。替代感应线圈98,或与感应线圈98结合,可使用远程等离子体源(未示出)以在第一容积73中形成等离子体77,或将等离子体77提供至第一容积73。
处理配件90可包括环91(诸如,凸缘),环91具有第一外缘93,第一外缘93经配置以放置于处理腔室72的壁82上。举例而言,如图1所示,环91可放置于壁82上,并具有介电盖88和顶部86。然而,图1所示的实施方式仅为示例性的,亦可能有其他实施方式。举例而言,环可经配置以放置于腔室的内侧特征结构上(未示出),诸如从壁82向内延伸的唇部或类似物。环91可进一步包括第一内缘95。
处理配件90可包括主体97,主体97从环91的第一内缘95向下延伸。主体97可包括侧壁99,侧壁99限定基板支撑件42上方的开口100。举例而言,如图1所示,开口100的直径可超过基板支撑件42的直径。举例而言,形成于基板支撑件42与主体97的侧壁99之间的间隙102可作为使处理气体、副产物和其他材料排出至气体排气装置78的流动路径。
处理配件90可包括唇部104,唇部104从主体97的侧壁99延伸至基板支撑件42上方的开口100。唇部104可经配置以保持等离子体过滤器89(如下文所论述)。唇部104可从主体97的侧壁99延伸,举例而言,如图1所示,在环91下方沿着侧壁99的位置延伸。可替代地,唇部104可从主体97邻近环91的位置延伸,例如与环91大约相同水平。唇部104可从主体97于任何合适的位置延伸,而使得等离子体过滤器89可位于感应线圈98下方的平面,以防止与感应耦合的干扰,并防止任何杂散等离子体(stray plasma)在等离子体过滤器89下方产生。
唇部104可具有第二内缘106,第二内缘106经配置以在第二内缘106上支撑等离子体过滤器89的周缘。举例而言,第二内缘106可包括凹槽108,凹槽108设置在第二内缘106周围,以将等离子体过滤器89保持在凹槽108中。然而,凹槽108仅为保持等离子体过滤器89的一个示例性实施方式,并可使用其他合适的保持机构。
处理配件90可包括与执行于系统40中的处理相容的任何合适材料。处理配件90的部件可有助于限定第一容积73和第二容积75。举例而言,可至少藉由环91、唇部104、等离子体过滤器89和介电盖88限定第一容积73。举例而言,在一些实施方式中,如图1所示,可进一步由主体97的侧壁99限定第一容积73。举例而言,可藉由唇部104、等离子体过滤器89、主体97和基板支撑件42限定第二容积75。
在等离子体77形成于处理腔室中之后,可使用等离子体过滤器89以限制等离子体77的离子流。等离子体过滤器89包括多个开口87,多个开口87从等离子体过滤器89的面对第一容积的表面83穿过等离子体过滤器89到等离子体过滤器89的面对第二容积的表面85设置。多个开口87将第一容积73流动耦接至第二容积75。
回到系统40,气体入口76连接至处理气体供应器92,并于处理期间将处理气体引入系统40。如图所示,气体入口76经由介电盖88耦接至第一容积73。然而,气体入口76可在任何合适的位置耦接进入第一容积73。气体排气装置78可包括伺服控制节流阀94和真空泵96。在处理之前,真空泵96排空系统40。在处理期间,真空泵96和伺服控制节流阀94维持处理期间系统40内的预定压力。在一些实施方式中,由处理气体供应器92引入的处理气体可包括适于形成氢自由基的含氢气体(诸如氢(H2))和惰性气体(诸如氩(Ar)或氦(He))的一种或更多者。在一些实施方式中,处理气体包括H2和He的混合物,其中H2为约5%。
处理腔室72进一步耦接到适于容纳液态醇类的安瓿79。如上所述,将安瓿79维持于预定温度(例如约20摄氏度至约50摄氏度的温度),以确保醇类的蒸气压基本上保持恒定。经由气体入口81将被汽化的醇类提供到第二容积75。
基板支撑件42一般包括加热器44、RF电极46和夹持电极48中之一或更多者。举例而言,RF电极46可包括钛,且可连接至功率源80,以在处理期间提供RF偏压。使用至RF电极46的偏压功率可促进等离子体引发(plasma ignition)和/或离子流的控制。然而,来自RF电极46的偏压功率可能无法与系统40的所有实施方式相容。因此,在这些情况中可藉由其他手段实现等离子体点火。举例而言,在足够高的压力(依赖于气体类型)下,感应线圈98与第一容积73之间的电容耦合可导致等离子体引发。
基板支撑件42可包括夹持电极48,当夹持电极48设置于基板支撑件42上时,夹持电极48用以将基板54紧固至基板支撑件42的表面。夹持电极48可经由匹配网路(未示出)耦接至夹持功率源50。夹持功率源50可以在约2MHz或约13.56MHz或约60MHz的频率下能够产生达12000W。在一些实施方式中,夹持功率源50可提供连续或脉冲功率。在一些实施方式中,夹持功率源可以是DC源或脉冲DC源。
基板支撑件可包括加热器44,当加热器44设置于基板支撑件42上时,加热器44将基板54加热至预定温度。加热器44可以是适于提供控制基板温度的任何类型的加热器。举例而言,加热器44可以是电阻式加热器。在此类实施方式中,加热器44可耦接至功率源52,功率源52经配置以将功率提供到加热器44以促进加热所述加热器44。在一些实施方式中,加热器44可设置于基板支撑件42的表面上方或邻近基板支撑件42的表面。可替代或与之结合,在一些实施方式中,加热器可嵌入基板支撑件42内。可改变加热器44的数量和布置,而提供对基板54的温度的额外控制。举例而言,在使用一个以上的加热器的实施方式中,加热器可布置于多个区域中,以促进遍布基板54的温度的控制,因此提供增加的温度控制。
控制器110包括中央处理单元(CPU)112、存储器114和用于CPU 112的支持电路116,并促进对系统40的部件的控制,以及由此对系统40中处理基板的方法的控制。控制器110可以是能够用于控制各种腔室和子处理器的工业设定中的任何形式的通用计算机处理器。CPU 112的存储器114或计算机可读介质可以是易于取得的存储器中之一或更多者,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的本地或远程的数字存储。支持电路116耦接至CPU 112,用于以传统方式支持处理器。这些电路包括高速缓冲存储器(cache)、电源、时钟电路、输入/输出电路和子系统、和类似物。存储器114存储软件(源代码或目标代码),可执行或可调用所述软件以利用本文所述的方式控制系统40的操作。软件程序亦可由第二CPU(未示出)存储和/或执行,所述第二CPU位于CPU 112所控制的硬件的远程位置。
在操作的实例中,基板54位于基板支撑件42上,并将第二容积75加压至约0.1托至约30托,或约0.6托至约3托。由于第二容积75与安瓿79之间的压力差,醇类处理气体(诸如乙醇)经由气体入口81被吸入到第二容积75中。为了启动反应,将基板54加热到约250摄氏度至约350摄氏度的温度。将基板暴露于乙醇约30秒至约120秒,以还原导电材料220的受污染表面224。在一些实施方式中,处理气体(诸如氢气(H2))经由气体入口76被引入第一容积73中。由氢气形成的等离子体的氢自由基通过感应耦合和/或电容耦合而产生于处理区域中。可藉由施加适当功率至感应线圈98以产生等离子体77。如上所述,氢自由基移除污染物层208并且被汽化的醇类还原受污染表面224,结果使得导电材料220保持IC互连结构的低k完整性、导电率和可靠性。
可使用其他半导体基板处理系统来实施本公开内容,其中本领域技术人员可在不背离本公开内容的精神的情况下利用本文披露的教导来调整处理参数,以实现可接受的特性。
尽管上述内容系针对本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下,可设计出本公开内容的其他和进一步的实施方式。

Claims (14)

1.一种处理基板的方法,所述方法包括以下步骤:
将设置于基板处理腔室的处理容积内的基板加热到达约400摄氏度的温度,其中所述基板包括暴露的导电材料;和
将所述基板暴露于处理气体,以还原所述导电材料的受污染表面,所述处理气体包括约80重量%至约100重量%的醇类蒸气。
2.如权利要求1所述的方法,其中所述导电材料为铜或钴。
3.如权利要求1所述的方法,其中所述醇类具有化学式CnH2n+1-OH,其中n为整数。
4.如权利要求3所述的方法,其中所述醇类为乙醇、异丙醇、甲醇或丁醇之一或更多者。
5.如权利要求1所述的方法,其中在将所述基板暴露于所述处理气体时,所述基板处理腔室的压力为约0.1托至约30托。
6.如权利要求1所述的方法,其中在将所述基板暴露于所述处理气体时,所述基板处理腔室的压力为约0.6托至约3托。
7.如权利要求1所述的方法,进一步包括以下步骤:将所述基板暴露于所述处理气体达约60秒。
8.如权利要求1至7中任一项所述的方法,其中将所述基板暴露于所述处理气体包括以下步骤:将具有液态醇类的安瓿暴露于所述基板处理腔室中内的压力,以使存储于所述安瓿中的所述醇类汽化。
9.如权利要求8所述的方法,其中将所述安瓿维持在约20摄氏度到约50摄氏度的温度,并且其中所述安瓿中的所述醇类的蒸气压基本上恒定。
10.如权利要求9所述的方法,进一步包括以下步骤:将被汽化的醇类经由真空抽吸处理从所述安瓿抽取到所述处理容积中。
11.如权利要求1至7中任一项所述的方法,进一步包括以下步骤:将所述基板暴露于氢自由基,所述氢自由基从耦接到所述基板处理腔室的远程等离子体源被提供到所述处理容积。
12.如权利要求11所述的方法,其中在将所述基板暴露于所述处理气体之前或与将所述基板暴露于所述处理气体同时的至少一者的情况下,将所述氢自由基提供到所述处理容积。
13.如权利要求1至7中任一项所述的方法,其中所述基板进一步包括第一表面,所述第一表面具有形成于所述第一表面中的开口,且其中所述暴露的导电材料为设置于所述基板中并与所述开口对准的导电材料的部分,以使得设置于所述基板中的所述导电材料的一部分经由所述开口而暴露。
14.一种具有指令储存其上的非瞬时计算机可读介质,当执行所述指令时产生处理基板的方法,所述方法如权利要求1至7中任一项所描述的。
CN201680057628.2A 2015-10-02 2016-09-20 用于预清洁导电互连结构的方法 Active CN108138336B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562236470P 2015-10-02 2015-10-02
US62/236,470 2015-10-02
US14/958,459 2015-12-03
US14/958,459 US9460959B1 (en) 2015-10-02 2015-12-03 Methods for pre-cleaning conductive interconnect structures
PCT/US2016/052602 WO2017058567A1 (en) 2015-10-02 2016-09-20 Methods for pre-cleaning conductive interconnect structures

Publications (2)

Publication Number Publication Date
CN108138336A true CN108138336A (zh) 2018-06-08
CN108138336B CN108138336B (zh) 2021-08-27

Family

ID=56995293

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680057628.2A Active CN108138336B (zh) 2015-10-02 2016-09-20 用于预清洁导电互连结构的方法

Country Status (5)

Country Link
US (2) US9460959B1 (zh)
KR (1) KR102655798B1 (zh)
CN (1) CN108138336B (zh)
TW (1) TWI624024B (zh)
WO (1) WO2017058567A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110797298A (zh) * 2018-08-03 2020-02-14 群创光电股份有限公司 电子装置及其制备方法

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960118B2 (en) * 2016-01-20 2018-05-01 Globalfoundries Inc. Contact using multilayer liner
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) * 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11037779B2 (en) * 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20200176379A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filament vias for interconnect structure
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11891690B2 (en) 2019-08-12 2024-02-06 Applied Materials, Inc. Molybdenum thin films by oxidation-reduction
CN114664656A (zh) * 2020-05-22 2022-06-24 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US11443936B2 (en) * 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery
KR102451424B1 (ko) * 2020-07-14 2022-10-05 이창훈 롤투롤 플라즈마 생성 장치를 이용한 기재의 표면 세정 시스템 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5503708A (en) * 1992-11-27 1996-04-02 Hitachi, Ltd. Method of and apparatus for removing an organic film
US20050208756A1 (en) * 2004-03-16 2005-09-22 Semiconductor Leading Edge Technologies, Inc. Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
CN100561665C (zh) * 2006-08-18 2009-11-18 海力士半导体有限公司 包含表面清洁步骤的制造半导体装置的方法
CN102007573A (zh) * 2008-04-29 2011-04-06 应用材料公司 在铜表面上选择性钴沉积

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100235937B1 (ko) * 1992-03-31 1999-12-15 김영환 반도체소자 제조공정의 비아 콘택형성방법
US6030754A (en) * 1996-02-05 2000-02-29 Texas Instruments Incorporated Photoresist removal without organic solvent following ashing operation
KR100252223B1 (ko) * 1997-08-30 2000-04-15 윤종용 반도체장치의 콘택홀 세정방법
KR100319881B1 (ko) * 1999-02-03 2002-01-10 윤종용 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
TW523792B (en) * 2000-09-07 2003-03-11 Toshiba Corp Semiconductor device and its manufacturing method
US20020160606A1 (en) * 2001-02-14 2002-10-31 Siefering Kevin L. Method for material removal from an in-process microelectronic substrate
US6918192B2 (en) * 2002-11-07 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate drying system
US20040194806A1 (en) * 2003-04-02 2004-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. IPA concentration interlock detector for substrate dryer
US20050039775A1 (en) * 2003-08-19 2005-02-24 Whitlock Walter H. Process and system for cleaning surfaces of semiconductor wafers
US20050241672A1 (en) * 2004-04-28 2005-11-03 Texas Instruments Incorporated Extraction of impurities in a semiconductor process with a supercritical fluid
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20070037389A1 (en) 2005-08-11 2007-02-15 Shu-Jen Chen Method for electroless plating metal cap barrier on copper
JP4816052B2 (ja) * 2005-12-13 2011-11-16 東京エレクトロン株式会社 半導体製造装置及び半導体装置の製造方法
US9418831B2 (en) * 2007-07-30 2016-08-16 Planar Semiconductor, Inc. Method for precision cleaning and drying flat objects
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
MY162497A (en) * 2009-10-16 2017-06-15 Linde Ag Cleaning of copper wire using plasma or activated gas
US8633117B1 (en) * 2012-11-07 2014-01-21 International Business Machines Corporation Sputter and surface modification etch processing for metal patterning in integrated circuits
JP5977720B2 (ja) * 2013-08-27 2016-08-24 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5503708A (en) * 1992-11-27 1996-04-02 Hitachi, Ltd. Method of and apparatus for removing an organic film
US20050208756A1 (en) * 2004-03-16 2005-09-22 Semiconductor Leading Edge Technologies, Inc. Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
CN100561665C (zh) * 2006-08-18 2009-11-18 海力士半导体有限公司 包含表面清洁步骤的制造半导体装置的方法
CN102007573A (zh) * 2008-04-29 2011-04-06 应用材料公司 在铜表面上选择性钴沉积

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110797298A (zh) * 2018-08-03 2020-02-14 群创光电股份有限公司 电子装置及其制备方法
US11234330B2 (en) 2018-08-03 2022-01-25 Innolux Corporation Electronic device

Also Published As

Publication number Publication date
US10283345B2 (en) 2019-05-07
TW201719844A (zh) 2017-06-01
CN108138336B (zh) 2021-08-27
TWI624024B (zh) 2018-05-11
KR102655798B1 (ko) 2024-04-05
US9460959B1 (en) 2016-10-04
US20170098540A1 (en) 2017-04-06
KR20180051662A (ko) 2018-05-16
WO2017058567A1 (en) 2017-04-06

Similar Documents

Publication Publication Date Title
CN108138336A (zh) 用于预清洁导电互连结构的方法
JP7241705B2 (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
CN109690730B (zh) 在高温下去除处理腔室中的硼-碳残留物的清洁工艺
JP6272873B2 (ja) 炭窒化ケイ素の選択的エッチング
KR102159740B1 (ko) 라디칼-성분 산화물 에칭
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
TW201715738A (zh) GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
JP2021511673A (ja) パターニングにおける酸化スズマンドレル
CN108735675A (zh) 氧化硅的选择性沉积
KR20160084314A (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
KR20150056607A (ko) 차등 실리콘 산화물 에칭
KR20170034794A (ko) 피처리체를 처리하는 방법
JP2018152425A (ja) エッチング方法
KR20200090133A (ko) 막을 에칭하는 방법
TWI648781B (zh) 在有機化合物氣體環境中之含銅層的中性射束蝕刻
US8992689B2 (en) Method for removing halogen-containing residues from substrate
CN112335016A (zh) 高深宽比结构的有效率的清洁和蚀刻
JP5233097B2 (ja) 基板処理方法、基板処理装置及び記憶媒体
JP3887123B2 (ja) ドライエッチング方法
JP2023516588A (ja) Euvパターニングにおける欠陥低減のための多層ハードマスク
JPH05304121A (ja) 銅の反応性イオンエッチング装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant