JP2023516588A - Euvパターニングにおける欠陥低減のための多層ハードマスク - Google Patents

Euvパターニングにおける欠陥低減のための多層ハードマスク Download PDF

Info

Publication number
JP2023516588A
JP2023516588A JP2022550875A JP2022550875A JP2023516588A JP 2023516588 A JP2023516588 A JP 2023516588A JP 2022550875 A JP2022550875 A JP 2022550875A JP 2022550875 A JP2022550875 A JP 2022550875A JP 2023516588 A JP2023516588 A JP 2023516588A
Authority
JP
Japan
Prior art keywords
layer
hardmask
multilayer
substrate
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022550875A
Other languages
English (en)
Inventor
ナガブヒラヴァ・バスカー
フリドル・フィリップ
シルヴァ・エキミニ・アヌジャ ダ
チャーチ・ジェニファー
メッツラー・ドミニク
フェリックス・ネルソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
International Business Machines Corp
Original Assignee
Lam Research Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp, International Business Machines Corp filed Critical Lam Research Corp
Publication of JP2023516588A publication Critical patent/JP2023516588A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】本明細書の様々な実施形態は、極紫外線フォトレジストを使用して半導体基板をパターニングする場面において多層ハードマスクを利用する方法、装置、およびシステムに関する。多層ハードマスクは、(1)金属酸化物、金属窒化物、または金属酸窒化物などの金属含有材料を含む上層と、(2)無機誘電体ケイ素含有材料を含む下層とを含む。多層ハードマスクのこれらの層は共に、優れたエッチング選択性を提供し、マイクロブリッジおよび断線などの欠陥の形成を低減する。特定の実施形態は、多層ハードマスクの堆積に関する。他の実施形態は、多層ハードマスクのエッチングに関する。いくつかの実施形態は、多層ハードマスクの堆積とエッチングの両方を伴う。【選択図】 図1

Description

参照による援用
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
半導体デバイスの寸法が縮小し続けるにつれて、新しい処理技術が必要とされる。例えば、リソグラフィ技術は時間の経過と共に進化し、より小さく、より高品質のフィーチャをもたらしている。極紫外線(EUV)リソグラフィは、極紫外線波長範囲を使用して基板をパターニングする新たな分野である。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
本明細書における様々な実施形態は、半導体基板を処理するための方法、装置、およびシステムに関する。特に、多層ハードマスクが記載されており、特にパターニング用途向けの極紫外線フォトレジストと共に使用される。
開示された実施形態の一態様では、基板を処理する方法が提供され、方法は、(a)基板を受け取ることであって、基板が(i)下地材料と、(ii)下地材料の上に配された有機平坦化層と、(iii)有機平坦化層の上に配された多層ハードマスクであって、多層ハードマスクは、(1)無機誘電体ケイ素含有材料を含む下層、および(2)金属酸化物、金属窒化物、または金属酸窒化物を含む上層を含む多層ハードマスクと、(iv)多層ハードマスクの上に配された極紫外線(EUV)フォトレジストの層であって、EUVフォトレジストの層は、パターニングされて凹状フィーチャを含み、多層ハードマスクの上層の部分は、凹状フィーチャ内で露出される、EUVフォトレジストの層と、を含む、基板の受け取りと、(b)多層ハードマスクの上層の露出部分をエッチングし、それによって凹状フィーチャを多層ハードマスクの上層内に拡張し、多層ハードマスクの下層の部分を露出させることと、(c)多層ハードマスクの下層の露出部分をエッチングし、それによって凹状フィーチャを多層ハードマスクの下層内に拡張し、有機平坦化層の部分を露出させることと、(d)有機平坦化層の露出部分をエッチングし、それによって凹状フィーチャを有機平坦化層内に拡張し、下地材料の部分を露出させることと、(e)下地材料の露出部分をエッチングし、それによって凹状フィーチャを下地材料内に拡張することと、を含む。
いくつかの実施形態では、多層ハードマスクの下層は、アモルファスケイ素、酸化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化ケイ素、酸窒化ケイ素、およびそれらの組み合わせからなる群から選択される材料を含んでもよい。これらまたは他の実施形態では、多層ハードマスクの下層は、約10nm以下の厚さを有してもよい。これらまたは他の実施形態では、多層ハードマスクの上層の金属酸化物、金属窒化物、または金属酸窒化物は、チタン、タンタル、ハフニウム、スズ、ルテニウム、およびそれらの組み合わせからなる群から選択される金属を含んでもよい。これらまたは他の実施形態では、多層ハードマスクの上層は、約10nm以下の厚さを有してもよい。
場合によっては、方法は、さらなるステップを含んでもよい。例えば、方法は、(b)の前に、基板を第1のプラズマに曝露し、凹状フィーチャ内の余分なEUVフォトレジストを除去することをさらに含んでもよい。これらまたは他の実施形態では、多層ハードマスクの上層の露出部分をエッチングすることは、基板を第2の反応剤に曝露することを含んでもよく、第2の反応剤は、塩素含有エッチャントおよび/または臭素含有反応剤を含む。これらまたは他の実施形態では、多層ハードマスクの上層の露出部分をエッチングすることは、基板を第2のプラズマに曝露することを含んでもよい。これらまたは他の実施形態では、多層ハードマスクの下層の露出部分をエッチングすることは、基板を第3の反応剤に曝露することを含んでもよく、第3の反応剤は、フッ素含有反応剤および/または塩素含有反応剤を含む。これらまたは他の実施形態では、多層ハードマスクの下層の露出部分をエッチングすることは、基板を第3のプラズマに曝露することを含んでもよい。これらまたは他の実施形態では、多層ハードマスクの上層と下層の両方は各々、約10nm以下の厚さを有してもよい。
特定の実施態様では、下地材料は、有機平坦化層の下に配された酸化物層と、酸化物層の下に配された窒化チタン層または酸窒化チタン層と、窒化チタン層または酸窒化チタン層の下に配された窒化ケイ素層とを含んでもよく、下地材料の露出部分をエッチングすることは、凹状フィーチャを酸化物層内および窒化チタン層または酸窒化チタン層内に拡張してもよく、少なくとも(b)および(c)におけるエッチングは、誘導結合プラズマによって駆動されてもよい。これらまたは他の実施形態では、方法は、凹状フィーチャが酸化物層および窒化チタン層または酸窒化チタン層内に拡張された後、有機平坦化層を除去することをさらに含んでもよい。
いくつかの実施態様では、下地材料は、有機平坦化層の下に配された犠牲ハードマスク層と、犠牲ハードマスク層の下に配された超低k誘電体層と、超低k誘電体層の下に配されたキャップ層とを含んでもよく、下地材料の露出部分をエッチングすることは、凹状フィーチャを犠牲ハードマスク層、超低k誘電体層、およびキャップ層内に拡張してもよく、少なくとも(b)および(c)におけるエッチングは、容量結合プラズマによって駆動されてもよい。これらまたは他の場合では、犠牲ハードマスク層は、窒化ケイ素を含んでもよい。これらまたは他の場合では、方法は、凹状フィーチャが犠牲ハードマスク層、超低k誘電体層、およびキャップ層内に拡張された後、有機平坦化層を除去することをさらに含んでもよい。
開示された実施形態の別の態様では、基板を処理する方法が提供され、方法は、(a)その上に下地材料を有する基板を受け取ることと、(b)下地材料上に多層ハードマスクの下層を堆積することであって、多層ハードマスクの下層は、無機誘電体ケイ素含有材料を含むことと、(c)下地材料上に多層ハードマスクの上層を堆積することであって、多層ハードマスクの上層は、金属酸化物、金属窒化物、または金属酸窒化物を含むことと、(d)多層ハードマスクの上層上に極紫外線フォトレジストを堆積することと、を含む。
いくつかの実施形態では、多層ハードマスクの下層および上層の各々は、約10nm以下の厚さを有する。これらまたは他の場合では、多層ハードマスクの下層および上層の各々は、原子層堆積またはプラズマ強化原子層堆積のいずれかにより堆積されてもよい。他の場合では、これらの層の1つまたは複数は、化学気相堆積またはプラズマ強化化学気相堆積により、または物理気相堆積などにより堆積されてもよい。
開示された実施形態のさらなる態様では、基板を処理するための装置が提供され、装置は、(a)反応チャンバと、(b)反応チャンバ内に配された基板支持体と、(c)反応チャンバ内でプラズマを生成するように構成されたプラズマ発生器と、(d)反応チャンバへの1つまたは複数の入口と、(e)少なくとも1つのプロセッサおよびメモリを有するコントローラであって、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、メモリは、少なくとも1つのプロセッサを制御して本明細書に記載の方法のいずれかを実行させるためのコンピュータ実行可能命令を記憶するコントローラとを含む。
開示された実施形態の別の態様では、基板を処理するための装置が提供され、装置は、(a)反応チャンバと、(b)反応チャンバ内に配された基板支持体と、(c)反応チャンバ内でプラズマを生成するように構成されたプラズマ発生器と、(d)反応チャンバへの1つまたは複数の入口と、(e)少なくとも1つのプロセッサおよびメモリを有するコントローラであって、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、メモリは、少なくとも1つのプロセッサを制御して、(i)反応チャンバ内に基板を受け取ることであって、基板が、(1)下地材料と、(2)下地材料の上に配された有機平坦化層と、(3)有機平坦化層の上に配された多層ハードマスクであって、多層ハードマスクは、(a)無機誘電体ケイ素含有材料を含む下層、および(b)金属酸化物、金属窒化物、または金属酸窒化物を含む上層を含む多層ハードマスクと、(4)多層ハードマスクの上に配された極紫外線(EUV)フォトレジストの層であって、EUVフォトレジストの層は、パターニングされて凹状フィーチャを含み、多層ハードマスクの上層の部分は、凹状フィーチャ内で露出される、EUVフォトレジストの層と、を含む基板の受け取りと、(ii)多層ハードマスクの上層の露出部分をエッチングし、それによって凹状フィーチャを多層ハードマスクの上層内に拡張し、多層ハードマスクの下層の部分を露出させることと、(iii)多層ハードマスクの下層の露出部分をエッチングし、それによって凹状フィーチャを多層ハードマスクの下層内に拡張し、有機平坦化層の部分を露出させることと、(iv)有機平坦化層の露出部分をエッチングし、それによって凹状フィーチャを有機平坦化層内に拡張し、下地材料の部分を露出させることと、(v)下地材料の露出部分をエッチングし、それによって凹状フィーチャを下地材料内に拡張することと、を実行させるためのコンピュータ実行可能命令を記憶するコントローラとを含む。
開示された実施形態のさらなる態様では、基板を処理するためのシステムが提供され、システムは、(a)堆積を実施するように構成された第1の反応チャンバと、(b)エッチングを実施するように構成された第2の反応チャンバと、(c)少なくとも1つのプロセッサおよびメモリを有するコントローラであって、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、メモリは、少なくとも1つのプロセッサを制御して、(i)第1の反応チャンバ内に基板を受け取ることと、(ii)基板上に多層ハードマスクの下層を堆積することであって、多層ハードマスクの下層は、無機誘電体ケイ素含有材料を含むことと、(iii)多層ハードマスクの下層上に多層ハードマスクの上層を堆積することであって、多層ハードマスクの上層は、金属酸化物、金属窒化物、または金属酸窒化物を含むことと、(iv)多層ハードマスクの上層の上に極紫外線フォトレジストの層を堆積することと、(v)極紫外線フォトレジストの層をパターニングして凹状フィーチャを画定し、それによって多層ハードマスクの上層の部分を露出させることと、(vi)基板を第2の反応チャンバに移送することと、(vii)多層ハードマスクの上層の露出部分をエッチングし、それによって凹状フィーチャを多層ハードマスクの上層内に拡張し、多層ハードマスクの下層の部分を露出させることと、(viii)多層ハードマスクの下層の露出部分をエッチングし、それによって凹状フィーチャを多層ハードマスクの下層内に拡張し、多層ハードマスクの下層の下に配された基板の部分を露出させることと、を実行させるためのコンピュータ実行可能命令を記憶するコントローラとを含む。
いくつかの実施形態では、第2の反応チャンバは、誘導結合プラズマ発生器を含んでもよく、メモリは、少なくとも1つのプロセッサを制御して、第1の誘導結合プラズマを生成し、(vii)で多層ハードマスクの上層の露出部分をエッチングすることと、第2の誘導結合プラズマを生成し、(viii)で多層ハードマスクの下層の露出部分をエッチングすることと、を実行させるためのコンピュータ実行可能命令を記憶してもよい。いくつかの他の実施形態では、第2の反応チャンバは、容量結合プラズマ発生器を含んでもよく、メモリは、少なくとも1つのプロセッサを制御して、第1の容量結合プラズマを生成し、(vii)で多層ハードマスクの上層の露出部分をエッチングすることと、第2の容量結合プラズマを生成し、(viii)で多層ハードマスクの下層の露出部分をエッチングすることと、を実行させるためのコンピュータ実行可能命令を記憶してもよい。
これらおよび他の態様は、図面を参照して以下でさらに説明される。
図1は、本明細書の特定の実施形態による、多層ハードマスクを使用して基板内に凹状フィーチャをエッチングする方法を説明するフローチャートである。
図2Aは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Bは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Cは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Dは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Eは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Fは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Gは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。 図2Hは、図1の方法に従って処理を受ける際の部分的に製作された半導体基板を図示する図である。
図3Aは、特定の実施形態による、ハードマスク開口プロセスを受ける際の部分的に製作された半導体基板を示す図である。 図3Bは、特定の実施形態による、ハードマスク開口プロセスを受ける際の部分的に製作された半導体基板を示す図である。 図3Cは、特定の実施形態による、ハードマスク開口プロセスを受ける際の部分的に製作された半導体基板を示す図である。 図3Dは、特定の実施形態による、ハードマスク開口プロセスを受ける際の部分的に製作された半導体基板を示す図である。 図3Eは、特定の実施形態による、ハードマスク開口プロセスを受ける際の部分的に製作された半導体基板を示す図である。
図4Aは、特定の実施形態による、ビアエッチングプロセスを受ける際の部分的に製作された半導体基板を示す図である。 図4Bは、特定の実施形態による、ビアエッチングプロセスを受ける際の部分的に製作された半導体基板を示す図である。 図4Cは、特定の実施形態による、ビアエッチングプロセスを受ける際の部分的に製作された半導体基板を示す図である。 図4Dは、特定の実施形態による、ビアエッチングプロセスを受ける際の部分的に製作された半導体基板を示す図である。
図5は、本明細書に記載の様々な層を堆積するために使用され得る反応チャンバを示す図である。
図6は、本明細書に記載の様々な層を堆積するために使用され得るマルチステーション処理ツールを図示する図である。
図7Aは、本明細書に記載の様々な層をエッチングするために使用され得る反応チャンバを示す図である。 図7Bは、本明細書に記載の様々な層をエッチングするために使用され得る反応チャンバを示す図である。 図7Cは、本明細書に記載の様々な層をエッチングするために使用され得る反応チャンバを示す図である。
図8は、本明細書に記載の様々な層をエッチングするために使用され得る代替の反応チャンバを示す図である。
図9は、その各々が本明細書に記載の様々な層を堆積および/またはエッチングするように構成され得る、多数のモジュールを備えた半導体プロセスクラスタアーキテクチャを示す図である。
以下の説明では、提示された実施形態の完全な理解を提供するために、多くの具体的な詳細が記載されている。開示された実施形態は、これらの具体的な詳細の一部または全部なしで実践することができる。他の例では、開示された実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。開示された実施形態は、特定の実施形態と併せて説明されるが、開示された実施形態を限定することを意図するものではないことが理解されるであろう。
極紫外線(EUV)リソグラフィは、半導体処理の分野で人気を集めている。しかし、EUV技術は、依然として多くの課題に直面している。例えば、従来のEUV技術を実践する場合、EUVレジスト層の厚さは、3層および4層のパターニングスタックにおいてパターンを下にあるハードマスク膜に転写することに関して主要な制限である。特に、レジストスカムおよびレジストラインの局所的な細線化は、望ましくないマイクロブリッジおよび断線を引き起こす可能性がある。これらの問題が起こりやすい場面の1つは、30nm未満のピッチでフィーチャをパターニングする際である。これらの寸法では、レジスト高さは、レジストの細線化(例えば、ショート)によるマイクロブリッジ(例えば、オープン)または断線を形成することなく、ハードマスクを開口する(例えば、パターンをEUVレジストからハードマスクに転写する)のに十分ではない。したがって、従来のEUV処理技術は、多数の欠陥と、それに伴う歩留まりの低下および高い処理コストをもたらす。
本明細書の様々な実施形態において、パターンをEUVレジスト層からハードマスク層に転写するためにEUVパターニングと併せて新規のハードマスクが使用され、その後、パターンは下地材料に転写することができる。ハードマスクは、EUVレジストとハードマスク材料との間の改善された選択性を共に提供する複数の層を含み、様々な層を通る忠実なパターン転写を可能にする。
本開示は、EUVリソグラフィによって例示されるリソグラフィパターニング技術および材料に関するが、他の次世代リソグラフィ技術にも適用可能であることも理解されたい。現在使用および開発中の標準的な13.5nmのEUV波長を含むEUVに加えて、そのようなリソグラフィに最も関連する放射源は、一般に248nmまたは193nmのエキシマレーザ源の使用を指すDUV(深UV)、X線範囲のより低いエネルギー範囲のEUVを正式に含むX線、ならびに広いエネルギー範囲をカバーすることができるeビームである。
ハードマスクは、少なくとも上層および下層を含む。ハードマスクの上層は、金属含有材料を含む。例示的な金属含有材料には、金属酸化物、金属窒化物、および金属酸窒化物が挙げられる。金属含有材料中の金属は、チタン、タンタル、ハフニウム、スズ、亜鉛、モリブデン、ルテニウムなど、ならびにそれらの組み合わせを含むことができる。したがって、ハードマスクの上層は、例えば、酸化チタン、窒化チタン、酸窒化チタン、酸化タンタル、窒化タンタル、酸窒化タンタル、酸化ハフニウム、窒化ハフニウム、酸窒化ハフニウム、酸化スズ、窒化スズ、酸窒化スズ、酸化ルテニウム、窒化ルテニウム、酸窒化ルテニウムなどを含んでもよい。ハードマスクの上層の金属含有材料は、EUVリソグラフィ曝露中に二次電子を生成する。この二次電子生成は、曝露中に形成されるレジストスカムの量を低減し、したがってフィーチャが適切に開口される程度を改善するので有益である。さらに、EUV曝露中の二次電子生成は、線量対サイズの利点を提供し、これは、ハードマスクの上層の金属含有材料からの電子生成が増加するため、同程度のEUVレジスト除去がより低い曝露線量で達成することができることを意味する。開示されたハードマスクに関連する別の利点は、ハードマスクの上層における金属含有材料が、追加の有機接着層を使用することなく、ハードマスクの上層に直接EUVレジストの接着を可能にすることである。
ハードマスクの上層は、任意の利用可能な堆積方法により形成することができる。特定の実施形態では、ハードマスクの上層は、プラズマエネルギーまたは熱エネルギーによって駆動され得る原子層堆積により形成される。他の実施形態では、ハードマスクの上層は、プラズマもしくは熱強化化学気相堆積、または物理気相堆積、またはスピンコーティングにより形成することができる。様々な実施形態において、ハードマスクの上層は、約10nm以下の厚さを有する。
ハードマスクの下層は、無機誘電体ケイ素含有材料を含む。例示的なケイ素含有材料には、限定はしないが、アモルファスケイ素(例えばa-Si)、酸化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化ケイ素、および酸窒化ケイ素が挙げられる。ハードマスクの下層は、パターン転写中に優れた選択性を下にある層に対して提供する。この高度の選択性により、従来のハードマスクで達成されるものと比較して、高品質のパターン転写が保証され、形成されるショートの量が大幅に減少し、歩留まりが向上する。
ハードマスクの下層は、任意の利用可能な堆積方法により形成することができる。特定の実施形態では、ハードマスクの下層は、プラズマエネルギーまたは熱エネルギーによって駆動され得る原子層堆積により形成される。他の実施形態では、ハードマスクの下層は、プラズマもしくは熱強化化学気相堆積、または物理気相堆積、またはスピンコーティングにより形成することができる。様々な実施形態において、ハードマスクの下層は、約10nm以下の厚さを有する。
図1は、本明細書の様々な実施形態による基板をパターニングする方法のフローチャートである。図2A~図2Hは、図1に示す方法を受ける際の部分的に製作された半導体基板を図示する。図1の動作は、図2A~図2Hに示す基板200を参照して説明される。
図1の方法は、図2Aに示す基板200から始まる。基板200は、下地材料201を含む。下地材料201は、その上面に有機平坦化層(OPL)を含むことができる。場合によっては、有機平坦化層は、スピンオングラス、アモルファスカーボン、および/または同様の材料を含んでもよい。下地材料201はまた、フィーチャが形成される一連の層(例えば、酸化物、窒化物、超低k誘電体材料などの1つまたは複数の層)を含むことができる。この一連の層の組成およびレイアウトは、用途に依存する。特定の実施態様に関するさらなる詳細は、以下に提供される。
動作101において、図2Bに示すように、多層ハードマスク202の下層202bが下地材料201上に堆積される。上述のように、下層202bは、他の方法の中でも、原子層堆積またはプラズマ強化原子層堆積により形成され得る。下層202bは、上述の金属含有材料を含む。次に、動作103において、図2Cに示すように、多層ハードマスク202の上層202aが多層ハードマスク202の下層202b上に堆積される。上層202aは、他の方法の中でも、原子層堆積またはプラズマ強化原子層堆積により形成され得る。上層202aは、上述の無機誘電体ケイ素含有材料を含む。この時点で、多層ハードマスク202が完全に堆積される。次に、動作105において、図2Dに示すように、フォトレジスト203の層が多層ハードマスク202の上層202a上に堆積されてパターニングされる。フォトレジスト203は、様々な実施形態において極紫外線(EUV)フォトレジストであり得る。特定の実施形態では、フォトレジスト203は、堆積された状態において約10~50nmの厚さを有することができる。フォトレジスト203は、リソグラフィ技術によりパターニングすることができる。
フォトレジスト203がパターニングされた後、典型的には、基板200上に残るいくらかの余分なフォトレジスト(矢印203aで示す)が存在する。この余分なフォトレジスト203aは、図2Dに示すように、パターニングされたフォトレジスト203における隣接する突出フィーチャの間に配され得る。この構成は、余分なフォトレジスト203aがフォトレジスト203における隣接する突出フィーチャの間に小さなブリッジを形成するため、マイクロブリッジング欠陥(またはマイクロブリッジ)と呼ばれることがある。余分なフォトレジスト203aは、基板200全体に堆積されたフォトレジスト203の不均一な厚さの結果であり得る(例えば、フォトレジスト203がより薄い領域は、リソグラフィパターニング後にフォトレジスト203aが減少する場合があり、ラインパターンに断線が生じる可能性がある)。
動作107において、図2Eに示すように、余分なフォトレジスト203aが除去される。様々な実施形態において、余分なフォトレジスト203aは、基板200をプラズマに曝露することを伴うデスカム動作で除去される。有利なことに、多層ハードマスク202の上層202aは、余分なフォトレジスト203aを除去するために使用される化学物質/条件に対して非常に耐性がある。したがって、多層ハードマスク202の上層202aは、余分なフォトレジスト203aが除去されている間は実質的にエッチングされない。したがって、余分なフォトレジスト203aを除去するために使用される条件は、同様の処理条件下で損傷を受ける可能性があるケイ素ベースのハードマスクなどの他のハードマスクで許容される条件よりも強力/過酷であり得る。
異なるタイプのプラズマを、様々な用途に使用することができる。例えば、場合によっては、誘導結合プラズマを使用してデスカムを行うことができる。誘導結合プラズマを使用することができる一例は、ハードマスク開口プロセスの場面である。以下でさらに説明する図3A~図3Eは、そのような実施形態に関する追加の詳細を提供する。他の場合では、デスカムは、容量結合プラズマを使用して行うことができる。容量結合プラズマを使用することができる一例は、ビアをエッチングするために使用されるプロセスなど、バックエンドオブラインの誘電体エッチングの場面である。以下でさらに説明する図4A~図4Dは、そのような実施形態に関する追加の詳細を提供する。
デスカム化学物質およびプラズマ条件は、それらが多層ハードマスク202の上層202aに対して選択的であるように選択される(例えば、上層202aが実質的に保存されたまま、余分なフォトレジスト203aが隣接するフォトレジストフィーチャの間から除去されるように)。誘導結合プラズマを使用してデスカムが行われる一例では、デスカム化学物質は、例えば、Cl2、HBr、CF4、およびO2の任意の組み合わせを含むことができる。低バイアス(例えば、約100V以下)を、基板に適用することができる。誘導結合プラズマを生成するために使用される電力は、約500W以下であり得る。バイアスおよび/またはプラズマ電力は、例えばフォトレジストへの損傷を最小限に抑え、粗さを改善するためにパルス化することができる。容量結合プラズマを使用してデスカムが行われる別の例では、デスカム化学物質は、CF4、N2、H2、O2、Cxyなどの任意の組み合わせを含むことができる。60MHzの低バイアスを、基板に適用することができる。容量結合プラズマを生成するために使用される電力は、約100~300Wであり得る。バイアスは、フォトレジストの損傷を最小限に抑え、粗さを改善するためにパルス化することができる。バイアスおよび/またはプラズマ生成電力は比較的低いかもしれないが、より容易に損傷する可能性がある従来のマスク材料で使用される場合よりも高くすることができる。
少なくとも余分なフォトレジスト203aを除去するために使用される比較的強力な処理条件、ならびにEUV曝露中の上層202aからの二次電子生成のために、多層ハードマスク202は、基板上のマイクロブリッジおよび同様の欠陥の形成を実質的に低減する。これは、従来の技術に対する大幅な改善を表す。
図1に戻ると、方法は動作109に続き、図2Fに示すように、凹状フィーチャ204が多層ハードマスク202の上層202aに異方性エッチングされる。エッチングプロセスは、反応性イオンエッチングであってもよい。このエッチング動作中、フォトレジスト203はマスクとして作用し、フォトレジスト203が存在する領域で多層ハードマスク202の上層202aを保護する。したがって、エッチングは、フォトレジスト203が以前に除去された凹状フィーチャ204の領域に限定される。動作109におけるエッチングは、フォトレジスト203が実質的に保存されたまま上層202aの材料が除去されるように、高度に選択的である。この高度な選択性は、断線を防止するのに役立つ。このエッチング動作中、いくらかの量のフォトレジスト203が消費される場合がある。
様々な実施形態において、上層202aをエッチングするために使用される化学物質は、塩素ベースの化学物質および/または臭素ベースの化学物質を含み得る。異なるタイプのプラズマを、様々な用途に使用することができる。例えば、場合によっては、上層202aは、誘導結合プラズマを使用してエッチングすることができる。誘導結合プラズマを使用することができる一例は、ハードマスク開口プロセスの場面である。以下でさらに説明する図3A~図3Eは、そのような実施形態に関する追加の詳細を提供する。他の場合では、上層202aは、容量結合プラズマを使用してエッチングすることができる。容量結合プラズマを使用することができる一例は、ビアをエッチングするために使用されるプロセスなど、バックエンドオブラインの誘電体エッチングの場面である。以下でさらに説明する図4A~図4Dは、そのような実施形態に関する追加の詳細を提供する。
誘導結合プラズマを使用して上層202aをエッチングする場合、以下のガス:HBr、Cl2、H2、Cxyの任意の組み合わせを反応チャンバに提供することができる。場合によっては、追加の反応剤または他のガスが提供されてもよい。基板は、約300V以下でバイアスされてもよく、誘導結合プラズマは、約300W以下の電力で生成されてもよい。プラズマは、約30%以下のデューティサイクルでパルス化することができる。反応チャンバ内の圧力は、約5~20mTorr(例えば、約0.66~2.67Pa)であり得る。基板支持体は、約30℃以下の温度に維持することができる。容量結合プラズマを使用して上層202aをエッチングする場合、エッチングに使用される化学物質は、Cxy、H2、およびCxyの任意の組み合わせを含むことができる。場合によっては、追加の反応剤または他のガスが提供されてもよい。例えば約100~800Wの電力レベルで、より低い電力が60MHzおよび27MHzで適用されてもよい。プラズマは、約20~30%のデューティサイクルでパルス化することができる。反応チャンバ内の圧力は、約20~80mTorr(例えば、約2.66~10.7Pa)であり得る。
次に、動作111において、図2Gに示すように、凹状フィーチャ204は、多層ハードマスク202の下層202b内への異方性エッチングによって拡張される。このエッチングプロセスは、反応性イオンエッチングプロセスであってもよい。このエッチング動作中、フォトレジスト203および多層ハードマスクの上層202aはマスクとして作用し、これらの材料が存在する領域で下層202bを保護する。したがって、エッチングは、保護されていない凹状フィーチャ204の領域に限定される。フォトレジスト203は、凹状フィーチャ204が多層ハードマスク202の下層202bにエッチングされる間に部分的に消費され得る。他の実施形態では、フォトレジスト203は、この動作中に完全に消費されてもよい(例えば、この場合、図2Gに示す基板にはフォトレジスト203がない)。そのような実施形態では、上層202aはマスクとして作用し続け、上層202aが存在する領域で下層202bを保護する。動作111におけるエッチングは、上層202aの材料が実質的に保存されたまま下層202bの材料が除去されるように、高度に選択的である。この高度な選択性は、望ましくない断線を形成する可能性を低減する。
様々な実施態様において、下層202bをエッチングするために使用される化学物質は、フッ素ベースの化学物質および/または塩素ベースの化学物質を含み得る。一般に、上層202aをエッチングするために使用される化学物質は、下層202bをエッチングするために使用される化学物質とは異なる。例えば、上層202aは、塩素ベースの化学物質でエッチングされてもよく、下層202bは、フッ素ベースの化学物質でエッチングされてもよい。別の例では、上層202aは、臭素ベースの化学物質でエッチングされてもよく、下層202bは、塩素ベースの化学物質でエッチングされてもよい。別の例では、上層202aは、臭素ベースの化学物質でエッチングされてもよく、下層202bは、塩素ベースの化学物質でエッチングされてもよい。例示的な塩素および臭素ベースの化学物質を、上に示した。例示的なフッ素ベースの化学物質には、例えば、CxyおよびCxyzが挙げられる。
異なるタイプのプラズマを、様々な用途に使用することができる。例えば、場合によっては、下層202bは、誘導結合プラズマを使用してエッチングすることができる。誘導結合プラズマを使用することができる一例は、ハードマスク開口プロセスの場面である。上述のように、図3A~図3Eは、そのような実施形態に関する追加の詳細を提供する。他の場合では、下層202bは、容量結合プラズマを使用してエッチングすることができる。容量結合プラズマを使用することができる一例は、ビアをエッチングするために使用されるプロセスなど、バックエンドオブラインの誘電体エッチングの場面である。図4A~図4Dは、そのような実施形態に関する追加の詳細を提供する。
下層202bをエッチングするための化学物質は、フォトレジスト203および上層202aに対して選択的であるように(例えば、化学物質が下層202bの除去を目標とするように)選択される。この選択性は、断線を防止するのに役立つ。下層202bが誘導結合プラズマでエッチングされる実施形態では、使用される化学物質は、Cxy、O2、N2、Cxyz、Ar、SF6、およびNF3の任意の組み合わせを含むことができる。場合によっては、追加の反応剤または他のガスが提供されてもよい。基板は、約300V以下でバイアスされてもよく、誘導結合プラズマは、約300W以下の電力で生成されてもよい。プラズマは、約10~30%のデューティサイクルでパルス化することができる。反応チャンバは、約5~20mTorr(例えば、約0.66~2.67Pa)の圧力に維持することができる。基板支持体は、約10~60℃の温度に維持することができる。下層202bが容量結合プラズマでエッチングされる場合、エッチングに使用される化学物質は、Cxy、O2、N2、Cxyz、およびArの任意の組み合わせを含むことができる。場合によっては、追加の反応剤が提供されてもよい。例えば約100~800Wの電力レベルで、より低い電力が60MHzおよび27MHzで提供されてもよい。プラズマは、約10~30%のデューティサイクルでパルス化することができる。基板温度は、約0~60℃に維持することができる。
図1に戻ると、方法は動作113に続き、図2Hに示すように、凹状フィーチャ204は、下地材料201内への異方性エッチングによって拡張される。このエッチング動作中、多層ハードマスク202の上層202aおよび下層202b(ならびに残りのフォトレジスト203)はマスクとして作用し、多層ハードマスク202(またはその一部)が存在する領域で下地材料201を保護する。したがって、エッチングは、保護されていない凹状フィーチャ204の領域に限定される。下地材料201は、基板200上に存在する材料および構造に応じて、いくつかの異なるステップでエッチングされてもよい。例えば、下地材料201内の異なる層は、異なるステップでエッチングされてもよく、各ステップは、特定のタイプの層を除去することを目標とする。
下地材料201がエッチングされると、残りのフォトレジスト203が消費される。フォトレジスト203が消費された後、多層ハードマスク202の上層202aが消費される。上層202aが消費されると、多層ハードマスク200の下層202bが消費される。下層202bは、下地材料201に対して優れた選択性を提供する。言い換えると、凹状フィーチャ204を下地材料201にエッチングするために使用されるエッチングプロセスは、下層202bの大部分が保存されたまま下地材料201が除去されるように、高度に選択的である。もちろん、十分なプラズマ曝露または他の処理により、下層202bは、マスク材料として消費することにより、または下層202bを除去するように特別に設計されたステップで除去することにより除去することができる。
いくつかの実施形態では、下地材料201は、別の構造(例えば、一連の層)の上に有機平坦化層を含み、有機平坦化層は、下地材料201の上面にある。いくつかのそのような実施形態では、有機平坦化層(約40~100nmの厚さを有し得る)は、多層ハードマスク202の上層202aを完全に消費することなく、完全にエッチングされる。そのような実施形態では、上層202aはマスクとして作用し続けてもよく、一方で凹状フィーチャ402は、有機平坦化層の下の一連の層にエッチングされる。他の場合には、多層ハードマスク202の上層202aは、有機平坦化層をエッチングする間に完全に消費され得る。そのような場合、多層ハードマスク202の下層202bは、有機平坦化層のエッチングを完了する間、および/または有機平坦化層の下の一連の層をエッチングする間、マスクとして作用し得る。
図1は、実施され得る多くの異なる動作を説明しているが、特定の実施形態では、これらの動作の多くが省略され得ることが理解される。例えば、方法は、図2A~図2Eのいずれかに示すように、基板を受け取ることによって始まることができる。そのような場合、図1に示す動作の多く(特に方法の始めの方のもの)は省略されてもよい。一実施形態では、方法は、図2Bに示すように、基板上での動作103から始まる。別の実施形態では、方法は、図2Cに示すように、基板上での動作105から始まる。別の実施形態では、方法は、図2Dに示すように、基板上での動作107から始まる。別の実施形態では、方法は、図2Eに示すように、基板上での動作109から始まる。一般に、これらの実施形態はすべて、多層ハードマスクが基板上に存在する間に基板をエッチングすることを伴う。さらに他の実施形態は、エッチングステップの一部または全部を伴わずに、多層ハードマスクの形成に関する堆積ステップを含んでもよい。例えば、一実施形態では、方法は、動作101および103を伴う。別の実施形態では、方法は、動作101、103、および105を伴う。これらの動作の後に、図1に示す他の動作が続く場合もあれば、続かない場合もある。
図1および図2A~図2Hは共に、様々な実施形態で使用され得る技術を説明する。図3A~図3Eは、特定の実施形態が実践され得る特定の場面を示している。より具体的には、図3A~図3Eは、凹状フィーチャが有機平坦化層、次に酸化物層、そして窒化チタン層に形成されるハードマスク開口プロセスの様々な段階を図示する。図3A~図3Eは特定の構造および材料を図示しているが、これらは開示された技術の1つの実施態様を表しているに過ぎず、そのような構造および材料は限定を意図するものではないことを理解されたい。一般的に言えば、図3A~図3Eに関連して説明されたエッチング動作は、誘導結合プラズマを発生するように構成された反応チャンバ内で行うことができる。言い換えると、誘導結合プラズマを使用して、図3A~図3Eで説明されたエッチング動作を駆動することができる。
図3Aは、超低k誘電体層315、窒化ケイ素層314、窒化チタン層313、酸化物層312、有機平坦化層311、ならびに上層202aおよび下層202bを有する多層ハードマスク202を有する基板200を示している。超低k誘電体材料の例には、例えば、様々なバージョンのSiCOHが挙げられる。場合によっては、窒化チタン層313は、酸窒化チタン層となるように酸素をさらに含んでもよい。酸化物層312は、特定の実施形態ではTEOSベースの酸化物層(例えば、酸化ケイ素層)であってもよい。図3Aの基板200は、図1(例えば、動作101~111、またはそのサブセット)および図2A~図2Gで説明されたプロセスフローにより形成することができる。簡潔にするために、説明は繰り返されない。図3Aの基板200は、図2Gの基板200に類似しており、図2Gの下地材料201は、図3Aの層311、312、313、314、および315に対応する。
図3Aに示すように、凹状フィーチャ204が多層ハードマスク202の上層202aと下層202bの両方に転写された後、図3Bに示すように、凹状フィーチャ204は、有機平坦化層311内に拡張される。このエッチングプロセスは、反応性イオンエッチングプロセスであってもよい。この異方性エッチングの間、残りのフォトレジスト203はマスクとして作用し、それによってフォトレジスト203の下の材料を保護することができる。フォトレジスト203が消費された場合、多層ハードマスクの上層202aは、マスクとして作用し続けることができる。有機平坦化層311に凹状フィーチャ204を形成するためのエッチングプロセスは、有機平坦化層311の材料がより高いエッチング速度での除去を目標とするように、かつ残りのフォトレジスト203および/または多層ハードマスク202の上層202aがより低い速度でエッチングされるように選択的である。
有機平坦化層が誘導結合プラズマを使用してエッチングされる場合、エッチング化学物質は、SOx、CH4、O2、N2、H2、およびCOxの任意の組み合わせを使用することができる。有機平坦化層が容量結合プラズマを使用してエッチングされる場合、エッチング化学物質は、COx、N2、H2、およびO2の任意の組み合わせを使用することができる。いずれの場合も、追加の反応剤または他のガスがいくつかの実施態様で提供されてもよい。一般的に言えば、従来の処理条件を使用して、このエッチングステップを実施することができる。
次に、図3Cに示すように、凹状フィーチャ204が酸化物層312内に拡張される。このエッチングプロセスは、反応性イオンエッチングであってもよい。この異方性酸化物エッチングの間、多層ハードマスク202の上層202aの残りの部分がマスクとして作用し、凹状フィーチャ204が所望のように転写されることを保証する。多層ハードマスク202の上層202aが消費された場合、多層ハードマスク202の下層202bは、マスクとして作用し続ける。このエッチングプロセスは、酸化物層312の材料がより高いエッチング速度で除去されるように、かつ多層ハードマスク202の上層202aおよび/または下層202bの材料がより低いエッチング速度で除去されるように選択的である。特定の実施形態では、凹状フィーチャ204を酸化物層312にエッチングするために使用される化学物質は、Cxy、Cxyz、N2、O2、H2、Cxy、SF6、NF3、およびHeの任意の組み合わせを含むことができる。場合によっては、他の反応剤またはプロセスガスが提供されてもよい。一般的に言えば、従来の処理条件を使用して、このステップを達成することができる。
次に、図3Dに示すように、凹状フィーチャ204が窒化チタン層313内に拡張される。このエッチングプロセスは、反応性イオンエッチングであってもよい。この異方性窒化チタンエッチングの間、多層ハードマスク202の下層202bの残りの部分がマスクとして作用し、凹状フィーチャ204が所望のように転写されることを保証する。多層ハードマスク202の下層202bが消費された場合、有機平坦化層311は、マスクとして作用し続ける。このエッチングプロセスは、窒化チタン層313の材料がより高いエッチング速度で除去されるように、かつ下層202bおよび/または有機平坦化層311の材料がより低いエッチング速度で除去されるように選択的である。特定の実装形態では、凹部フィーチャ204を窒化チタン層313にエッチングするために使用される化学物質は、Cl2、HBr、CH4、Ar、N2、Heの任意の組み合わせを含むことができる。場合によっては、追加の反応剤または他のプロセスガスが提供されてもよい。プラズマは、上述のように誘導結合プラズマであってもよい。
図3Eに示すように、凹状フィーチャ204が窒化チタン層313にエッチングされた後、有機平坦化層311が除去される。いくつかの実施形態では、有機平坦化層311は、基板200を酸素含有プラズマなどのアッシングプラズマに曝露することによって除去することができる。有機平坦化層311の除去は、有機平坦化層311の材料が比較的高い除去速度での除去を目標とするように、かつ酸化物層312、窒化チタン層313、および窒化ケイ素層314が実質的に保存される(かつ/または有機平坦化層311と比較してはるかに低い速度でエッチングされる)ように選択的である。
図2A~図2Hおよび図3A~図3Eで説明されたプロセスフローは、開示された多層ハードマスクを使用して、フィーチャをパターニングされたEUVフォトレジスト層から酸化物層の下に配された窒化チタン層を含有するスタックに転写することができるハードマスク開口プロセスを示している。特定の実施形態では、図3A~図3Dまたは図3A~図3Eに関連して説明された様々なエッチング動作は、少なくとも誘導結合プラズマ発生器を有する単一の反応チャンバ内で実施することができる。
図4A~図4Dは、図2A~図2Hに示すプロセスフローと組み合わせて使用され得る別のプロセスフローを示している。この例は、ビアエッチングの場面で提供される。特定の実施形態では、図4A~図4Dに関連して説明されたエッチング動作は、容量結合プラズマ発生器を有する反応チャンバ内で行うことができる。言い換えると、図4A~図4Dのエッチング反応は、容量結合プラズマによって駆動され得る。
図4Aは、下地構造425、キャップ層424、超低k誘電体層423、犠牲ハードマスク層422、有機平坦化層411、上層202aおよび下層202bを含む多層ハードマスク202、ならびにフォトレジスト203を有する基板200を図示する。キャップ層424は、誘電体キャップと呼ぶことができる。キャップ層424は窒化ケイ素である場合もあるが、実施形態はそのように限定されない。超低k誘電体層423についての例示的な材料には、様々なタイプのSiCOHおよび同様の材料が挙げられる。犠牲ハードマスク層422についての1つの例示的な材料は窒化ケイ素であるが、実施形態はそのように限定されない。図4Aに示す基板200は、図1(例えば、動作101~111)および図2A~図2Gで説明されたプロセスにより形成することができる。簡潔にするために、説明は繰り返されない。図4Aの基板200は、図2Gの基板200に類似しており、図2Gの下地材料201は、図4Aの層411、422、423、424、および425に対応する。
図4Aに示すように、凹状フィーチャ204が多層ハードマスク202の上層202aと下層202bの両方に転写された後、図4Bに示すように、凹状フィーチャ204は、有機平坦化層411内に拡張される。エッチングプロセスは、反応性イオンエッチングであってもよい。この異方性エッチングプロセスの間、残りのフォトレジスト203はマスクとして作用し、それによってフォトレジスト203の下の材料を保護することができる。フォトレジスト203が消費された場合、多層ハードマスクの上層202aは、マスクとして作用し続けることができる。有機平坦化層411に凹状フィーチャ204を形成するためのエッチングプロセスは、有機平坦化層411の材料がより高いエッチング速度での除去を目標とするように、かつ残りのフォトレジスト203および/または多層ハードマスク202の上層202aがより低い速度でエッチングされるように選択的である。場合によっては、このステップのための化学物質は、O2、N2、H2、およびCOxの任意の組み合わせを含むことができる。場合によっては、他の反応剤またはプロセスガスが提供されてもよい。一般的に言えば、従来の処理条件をこのステップに使用することができる。
次に、図4Cに示すように、凹状フィーチャ204が犠牲ハードマスク層422、超低k誘電体層423、およびキャップ層424内に拡張される。これは、各動作が層の1つまたは複数を除去することを目標とする、1つまたは複数の異方性エッチング動作で達成することができる。エッチング動作は、反応性イオンエッチング動作であってもよい。このエッチングプロセスの間、多層ハードマスク202の上層202aおよび下層202b、ならびに有機平坦化層411がマスクとして作用し、様々な層を通してパターンを転写する。例えば、上層202aが存在する間、これは下地材料をエッチングから保護するように作用する。上層202aが消費された場合、下層202bは、下地材料をエッチングから保護するマスクとして作用し続ける。下層202bが消費された場合、有機平坦化層411は、下地材料をエッチングから保護するマスクとして作用し続ける。このようにして、凹状フィーチャ204のパターンは、様々な層を通して転写され、図4Cに示す基板200に到達することができる。一般的に言えば、従来の化学物質(例えば、Cxyz、Cxy、N2、O2、COx、Arなど)を従来の処理条件と共に使用して、これらのステップを達成することができる。
図4Dに示すように、凹状フィーチャ204がキャップ層424にエッチングされた後、残りの有機平坦化層411を除去することができる。この除去は、例えば、図3Eに関連して説明された有機平坦化層311の除去に類似しているが、異なるプラズマおよびプラズマ生成条件を使用することができる。特定の実施形態では、層の1つまたは複数は、例えば、O2、COx、N2、およびH2の任意の組み合わせを含むストリッピング化学物質を使用して除去することができる。図は、凹状フィーチャ204がキャップ層424内に拡張された後の有機平坦化層411の除去を示しているが、場合によっては、これは異なる順序で行われてもよい。例えば、有機平坦化層411は、凹状フィーチャ204が超低k誘電体層423内に拡張された後に除去され得る。いくつかの実施形態では、図4A~図4Dで説明されたエッチング動作のすべては、単一の反応チャンバ内で行うことができる。
図1、図2A~図2H、および図4A~図4Dで説明されたプロセスフローは、特定の実施形態では、例えばビアまたは同様のフィーチャをエッチングする場面において使用され得る。図3A~図3Eおよび図4A~図4Dは、開示された多層ハードマスクが使用され得る用途の2つの例を提供するに過ぎない。開示された多層ハードマスクはまた、所望に応じて他の用途にも使用することができる。
装置
本明細書に記載の技術は、様々な装置で実施することができる。1つまたは複数の反応チャンバは、堆積を実施するように、例えば多層ハードマスクの上層および/もしくは下層、ならびに/または本明細書に記載の任意の他の層/材料を堆積するように構成することができる。さらに、1つまたは複数の反応チャンバは、エッチングを実施するように、例えば多層ハードマスクの上層および/もしくは下層、ならびに/または本明細書に記載の任意の他の層/材料をエッチングするように構成することができる。同様に、1つまたは複数の反応チャンバは、アッシングおよび/または洗浄などの他の材料除去動作を実施するように構成することができる。これらは、乾式(例えば、プラズマベースの)動作または湿式(例えば、液体ベースの)動作であり得る。特定の実施形態では、単一の反応チャンバが、堆積とエッチングの両方など、複数のタイプのタスクを実施するように構成されてもよい。いくつかの実施形態では、複数の反応チャンバを単一の装置に組み合わせることができ、各反応チャンバは、堆積またはエッチングなどの特定の目的を実施するように構成される。いくつかの実施形態では、複数の装置を単一のシステムに組み合わせることができ、各装置は、堆積またはエッチングなどの特定の目的を実施するように構成される。多くの構成が可能である。
図5は、そのいずれもプラズマ強化することができる、原子層堆積(ALD)および/または化学気相堆積(CVD)を使用して材料を堆積するために使用され得るプロセスステーション500の一実施形態を概略的に示す。プロセスステーション500を使用して、多層ハードマスクの上層および/または下層を含む、本明細書に記載の様々な層を堆積することができる。同様に、プロセスステーション500を使用して、図2A~図2H、図3A~図3E、および図4A~図4Dに関連して説明された様々な他の層を堆積することができる。もちろん、特定の動作または用途に対して、他の堆積チャンバを所望のように使用することができる。簡略化のために、プロセスステーション500は、低圧環境を維持するためのプロセスチャンバ本体502を有する独立型プロセスステーションとして図示されている。しかし、複数のプロセスステーション500が共通のプロセスツール環境に含まれてもよいことが理解されよう。さらに、いくつかの実施形態では、プロセスステーション500の1つまたは複数のハードウェアパラメータ(以下で詳細に説明されるものを含む)は、1つまたは複数のコンピュータコントローラによってプログラム的に調整することができることが理解されよう。
プロセスステーション500は、プロセスガスを分配シャワーヘッド506に送給するための反応剤送給システム501と流体連通する。反応剤送給システム501は、シャワーヘッド506に送給するプロセスガスをブレンドおよび/または調整するための混合容器504を含む。1つまたは複数の混合容器入口弁520は、混合容器504へのプロセスガスの導入を制御することができる。同様に、シャワーヘッド入口弁505は、シャワーヘッド506へのプロセスガスの導入を制御することができる。
BTBASのようないくつかの反応剤は、気化およびその後のプロセスステーションへの送給の前は、液体の形態で保存することができる。例えば、図5の実施形態は、混合容器504に供給される固体反応剤を気化させるための気化ポイント503を含む。いくつかの実施形態では、気化ポイント503は、加熱された気化器であり得る。このような気化器から発生された反応剤蒸気は、下流の送給配管で凝縮する可能性がある。凝縮した反応剤に不適合なガスを曝露すると、小さな粒子が生成される場合がある。これらの小さな粒子は、配管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする可能性がある。これらの問題に対処するいくつかのアプローチは、送給配管を掃除および/または排気し、残留反応剤を除去することを伴う。しかし、送給配管を掃除すると、プロセスステーションのサイクル時間が増加し、プロセスステーションのスループットが低下する可能性がある。したがって、いくつかの実施形態では、気化ポイント503の下流の送給配管は、ヒートトレースされ得る。いくつかの例では、混合容器504もまた、ヒートトレースされ得る。1つの非限定的な例では、気化ポイント503の下流の配管は、混合容器504において約100℃~約150℃に及ぶ上昇温度プロファイルを有する。
いくつかの実施形態では、反応剤液体は、液体注入器で気化され得る。例えば、液体注入器は、液体反応剤のパルスを混合容器の上流のキャリアガス流に注入することができる。1つのシナリオでは、液体注入器は、液体を高圧から低圧にフラッシュすることによって反応剤を気化させることができる。別のシナリオでは、液体注入器は、液体を分散微小液滴に霧化し、続いて加熱された送給パイプ内で気化することができる。小さな液滴は大きな液滴よりも速く気化することができ、液体注入と完全気化との間の遅延を減少させることが理解されよう。気化が速いほど、気化ポイント503から下流の配管の長さを短くすることができる。1つのシナリオでは、液体注入器は、混合容器504に直接取り付けられてもよい。別のシナリオでは、液体注入器は、シャワーヘッド506に直接取り付けられてもよい。
いくつかの実施形態では、気化されてプロセスステーション500に送給される液体の質量流量を制御するために、液体流コントローラを気化ポイント503の上流に設けることができる。例えば、液体流コントローラ(LFC)は、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMと電気的に通信する比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整され得る。しかし、フィードバック制御を使用して液体の流れを安定化するには1秒以上かかる場合がある。これは、液体反応剤の投与時間を延長する可能性がある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてもよい。いくつかの実施形態では、LFCの感知管およびPIDコントローラを無効にすることによって、LFCをフィードバック制御モードから直接制御モードに動的に切り替えることができる。
シャワーヘッド506は、プロセスガスを基板512に向かって分配する。図5に示す実施形態では、基板512は、シャワーヘッド506の下に位置し、台座508上に静止した状態で示されている。シャワーヘッド506は、任意の適切な形状を有してもよく、プロセスガスを基板512に分配するための任意の適切な数および配置のポートを有してもよいことが理解されよう。本明細書の多くの実施形態では、シャワーヘッド506は、段階的にプロセスガスを分配し、例えば基板表面上でのプロセスガスの周期的な送給および吸着に依存する原子層堆積を可能にすることができる。
いくつかの実施形態では、マイクロ容積507がシャワーヘッド506の下に位置する。プロセスステーションの全容積ではなくマイクロ容積でALDおよび/またはCVDプロセスを実施することは、反応剤への曝露および掃除時間を短縮することができ、プロセス条件(例えば、圧力、温度など)を変更するための時間を短縮することができ、プロセスガスへのプロセスステーションロボットの曝露を制限することなどが可能である。例示的なマイクロ容積サイズには、限定はしないが、0.1リットル~2リットルの容積が挙げられる。このマイクロ容積もまた、生産性スループットに影響を与える。サイクル当たりの堆積速度が低下する一方で、サイクル時間も同時に短縮される。場合によっては、後者の影響は、膜の所与の目標厚さに対するモジュールの全体的なスループットを改善するのに十分効果的である。
いくつかの実施形態では、台座508を上昇または下降させて基板512をマイクロ容積507に露出させ、かつ/またはマイクロ容積507の容積を変化させることができる。例えば、基板移送段階では、台座508を下降させ、基板512が台座508上にロードされることを可能にすることができる。堆積プロセス段階中、台座508を上昇させ、マイクロ容積507内に基板512を位置決めすることができる。いくつかの実施形態では、マイクロ容積507は、基板512ならびに台座508の一部を完全に包囲し、堆積プロセス中に流れインピーダンスの高い領域を形成することができる。
任意選択で、台座508は、マイクロ容積507内のプロセス圧力、反応剤濃度などを調節するために、堆積プロセスの一部の間に下降および/または上昇されてもよい。プロセスチャンバ本体502が堆積プロセス中にベース圧力のままである1つのシナリオでは、台座508を下降させることにより、マイクロ容積507を排気することができる。マイクロ容積対プロセスチャンバ容積の例示的な比率には、限定はしないが、1:500~1:10の容積比率が挙げられる。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラによってプログラム的に調整することができることが理解されよう。
別のシナリオでは、台座508の高さを調整することにより、堆積プロセスに含まれるプラズマ活性化サイクルおよび/またはプラズマ処理サイクル中にプラズマ密度を変化させることが可能になり得る。堆積プロセス段階の終わりに、別の基板の移送段階中に台座508を下降させ、台座508から基板512を取り出し可能にすることができる。
本明細書に記載の例示的なマイクロ容積変動は高さ調整可能な台座に言及しているが、いくつかの実施形態では、シャワーヘッド506の位置を台座508に対して調整し、マイクロ容積507の容積を変化させることができることが理解されよう。さらに、台座508および/またはシャワーヘッド506の垂直位置は、本開示の範囲内の任意の適切な機構によって変化させてもよいことが理解されよう。いくつかの実施形態では、台座508は、基板512の配向を回転させるための回転軸を含んでもよい。いくつかの実施形態では、これらの例示的な調整の1つまたは複数は、1つまたは複数の適切なコンピュータコントローラによってプログラム的に実施することができることが理解されよう。
図5に示す実施形態に戻ると、シャワーヘッド506および台座508は、プラズマに電力を供給するために、RF電源514および整合ネットワーク516と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源514および整合ネットワーク516は、任意の適切な電力で動作してラジカル種の所望の組成を有するプラズマを形成することができる。適切な電力の例は、上記に含まれている。同様に、RF電源514は、任意の適切な周波数のRF電力を提供することができる。いくつかの実施形態では、RF電源514は、互いに独立して高周波および低周波のRF電源を制御するように構成され得る。例示的な低周波RF周波数は、限定はしないが、50kHz~500kHzの周波数を含み得る。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHzの周波数を含み得る。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータを離散的または連続的に調節することができることが理解されよう。1つの非限定的な例では、プラズマ電力を断続的にパルス化し、連続的に電力を供給されるプラズマと比較して基板表面でのイオン衝撃を低減することができる。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってin-situで監視することができる。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって監視され得る。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光センサ(OES)によって測定されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータは、そのようなin-situプラズマモニタからの測定値に基づいてプログラム的に調整することができる。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用され得る。いくつかの実施形態では、他のモニタを使用して、プラズマおよび他のプロセス特性を監視することができることが理解されよう。そのようなモニタには、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力変換器が挙げられ得る。
いくつかの実施形態では、プラズマは、入出力制御(IOC)シーケンス命令を介して制御され得る。一例では、プラズマプロセス段階に対するプラズマ条件を設定するための命令は、堆積プロセスレシピの対応するプラズマ活性化レシピ段階に含まれてもよい。場合によっては、プロセスレシピ段階は、堆積プロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータを設定するための命令が、プラズマプロセス段階に先行するレシピ段階に含まれ得る。例えば、第1のレシピ段階は、不活性ガスおよび/または反応剤ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、および第1のレシピ段階のための時間遅延命令を含んでもよい。第2の後続のレシピ段階は、プラズマ発生器を有効にするための命令、および第2のレシピ段階のための時間遅延命令を含んでもよい。第3のレシピ段階は、プラズマ発生器を無効にするための命令、および第3のレシピ段階のための時間遅延命令を含んでもよい。これらのレシピ段階は、本開示の範囲内で任意の適切な方法でさらに細分化および/または反復され得ることが理解されよう。
いくつかの堆積プロセスでは、プラズマストライクは、数秒以上のオーダーの時間で持続する。特定の実施態様では、はるかに短いプラズマストライクを使用することができる。これらは、約10ミリ秒~1秒、典型的には、約20~80ミリ秒のオーダーとすることができ、具体的な例は50ミリ秒である。そのような非常に短いRFプラズマストライクは、プラズマの非常に迅速な安定化を伴う。これを達成するために、プラズマ発生器は、周波数の変動を許容しながら、インピーダンス整合が特定の電圧に事前設定されるように設定されるように構成され得る。従来、高周波プラズマは、約13.56MHzのRF周波数で生成される。本明細書で開示される様々な実施形態において、周波数は、この標準値とは異なる値に変動することが許容される。インピーダンス整合を所定の電圧に固定しながら周波数の変動を可能にすることによって、プラズマはより迅速に安定化することができ、これは、いくつかのタイプの堆積サイクルに関連する非常に短いプラズマストライクを使用するときに重要になる可能性がある。
いくつかの実施形態では、台座508は、ヒータ510を介して温度制御され得る。さらに、いくつかの実施形態では、堆積プロセスステーション500についての圧力制御は、バタフライ弁518によって提供され得る。図5の実施形態に示すように、バタフライ弁518は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション500の圧力制御はまた、プロセスステーション500に導入される1つまたは複数のガスの流量を変化させることによって調整することができる。
図6は、インバウンドロードロック602およびアウトバウンドロードロック604を備え、これらのいずれかまたは両方がリモートプラズマ源を備え得るマルチステーション処理ツール600の一実施形態の概略図を示す。ロボット606は、大気圧において、ポッド608を介してロードされたカセットから、大気圧ポート610を介してインバウンドロードロック602にウエハを移動させるように構成される。ウエハは、ロボット606によって、インバウンドロードロック602の台座612上に載置され、大気圧ポート610が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック602がリモートプラズマ源を備える場合、ウエハは、処理チャンバ614に導入される前にロードロック内でリモートプラズマ処理を受けてもよい。さらに、ウエハはまた、例えば、水分および吸収したガスを除去するためにインバウンドロードロック602においても加熱されてもよい。次に、処理チャンバ614へのチャンバ搬送ポート616が開かれ、別のロボット(図示せず)が、ウエハをリアクタ内に移動させ、リアクタ内に示す第1のステーションの台座上に処理のために載置する。図6に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてもよいことが理解されよう。
図示の処理チャンバ614は、図6に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱台座(ステーション1に対して618で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または複数の目的を有し得ることが理解されよう。例えば、あるプロセスステーションは多層ハードマスクの下層を堆積するように構成されてもよく、別のプロセスステーションは多層ハードマスクの上層を堆積するように構成されてもよい。各処理ステーションは、図5に関して上述した通りであり得る。図示の処理チャンバ614は4つのステーションを備えるが、本開示による処理チャンバは、任意の適切な数のステーションを有してもよいことが理解される。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施形態では、処理チャンバは、3つ以下のステーションを有してもよい。
図6はまた、処理チャンバ614内でウエハを移送するためのウエハハンドリングシステム690の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム690は、様々なプロセスステーション間および/またはプロセスステーションとロードロックとの間でウエハを移送することができる。任意の適切なウエハハンドリングシステムが用いられてもよいことが理解されよう。非限定的な例として、ウエハカルーセルおよびウエハハンドリングロボットが挙げられる。図6はまた、プロセスツール600のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ650の一実施形態を図示する。システムコントローラ650は、1つまたは複数のメモリデバイス656と、1つまたは複数の大容量記憶デバイス654と、1つまたは複数のプロセッサ652とを含むことができる。プロセッサ652は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
いくつかの実施形態では、システムコントローラ650は、プロセスツール600の活動のすべてを制御する。システムコントローラ650は、大容量記憶デバイス654に記憶され、メモリデバイス656にロードされ、プロセッサ652で実行されるシステム制御ソフトウェア658を実行する。システム制御ソフトウェア658は、タイミング、ガスの混合、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、パージ条件およびタイミング、ウエハ温度、RF電力レベル、RF周波数、基板、台座、チャック位置および/またはサセプタ位置、ならびにプロセスツール600によって実施される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア658は、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、開示された方法に従って様々なプロセスツールプロセスを実行するプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェア658は、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
いくつかの実施形態では、システム制御ソフトウェア658は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含み得る。例えば、PEALDプロセスの各段階は、システムコントローラ650によって実行されるための1つまたは複数の命令を含んでもよい。PEALDプロセス段階に対するプロセス条件を設定するための命令は、対応するPEALDレシピ段階に含まれてもよい。いくつかの実施形態では、PEALDレシピ段階は、PEALDプロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。
いくつかの実施形態では、システムコントローラ650に関連する大容量記憶デバイス654および/またはメモリデバイス656に記憶された他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座618上にロードし、基板とプロセスツール600の他の部分との間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含むことができる。
プロセスガス制御プログラムは、プロセスステーションの圧力を安定化するために、ガス組成および流量を制御するためのコード、および任意選択で、堆積前にガスを1つまたは複数のプロセスステーションに流すためのコードを含むことができる。プロセスガス制御プログラムは、開示された範囲のいずれか内にガス組成および流量を制御するためのコードを含んでもよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含み得る。圧力制御プログラムは、開示された圧力範囲のいずれか内にプロセスステーション内の圧力を維持するためのコードを含んでもよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の送給を制御することができる。ヒータ制御プログラムは、開示された範囲のいずれか内に基板の温度を維持するための命令を含んでもよい。
プラズマ制御プログラムは、例えば本明細書に開示されるRF電力レベルのいずれかを使用して、1つまたは複数のプロセスステーション内のプロセス電極に適用されるRF電力レベルおよび周波数を設定するためのコードを含むことができる。プラズマ制御プログラムはまた、各プラズマ曝露の持続時間を制御するためのコードを含むことができる。
いくつかの実施形態では、システムコントローラ650に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
いくつかの実施形態では、システムコントローラ650によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、および曝露時間などなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ650のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール600のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
開示された実施形態を実施するために、任意の適切なチャンバを使用することができる。例示的な堆積装置には、限定はしないが、各々がカリフォルニア州フリーモントのLam Research社から入手可能なALTUS(登録商標)製品ファミリー、VECTOR(登録商標)製品ファミリー、および/もしくはSPEED(登録商標)製品ファミリーからの装置、または様々な他の市販されている処理システムのいずれかが挙げられる。2つ以上のステーションが、同じ機能を実施してもよい。同様に、2つ以上のステーションが異なる機能を実施してもよい。各ステーションは、所望の特定の機能/方法を実施するように設計/構成することができる。
図7A~図7Cは、本明細書に記載のエッチング動作を実施するために使用することができる、調整可能なギャップを有する容量結合閉じ込めRFプラズマリアクタ700の一実施形態を示している。例えば、そのようなリアクタを使用して、限定はしないが、多層ハードマスクの上層および下層、さらに有機平坦化層、ならびに図2A~図2Hおよび図4A~図4Dに関連して説明された他の層を含む、本明細書に記載の層のいずれか1つまたは複数をエッチングすることができる。特定の用途に対して、異なるタイプまたは追加のタイプのプラズマ発生器を有することができる他のタイプのエッチングリアクタを所望のように使用することができる。図示のように、真空チャンバ702は、下部電極706を収容する内部空間を囲むチャンバハウジング704を含む。チャンバ702の上部において、上部電極708は、下部電極706から垂直方向に離間されている。上部電極および下部電極708、706の平面は、電極間の垂直方向に対して実質的に平行であり、かつ直交している。好ましくは、上部電極および下部電極708、706は、垂直軸に対して円形であり、かつ同軸である。上部電極708の下面は、下部電極706の上面に面している。離間されて面している電極面は、それらの間に調整可能なギャップ710を画定する。動作中、下部電極706は、RF電源(マッチ)720によってRF電力が供給される。RF電力は、RF供給導管722、RFストラップ724、およびRF電力部材726を通して下部電極706に供給される。接地シールド736が、RF電力部材726を囲み、より均一なRF場を下部電極706に提供することができる。ウエハは、ウエハポート782を通して挿入され、処理のために下部電極706上のギャップ710で支持され、プロセスガスがギャップ710に供給され、RF電力によってプラズマ状態に励起される。上部電極708は、通電または接地されてもよい。
図7A~図7Cに示す実施形態では、下部電極706は、下部電極支持プレート716上に支持される。下部電極706と下部電極支持プレート716との間に挿入された絶縁リング714は、支持プレート716から下部電極706を絶縁する。
RFバイアスハウジング730が、RFバイアスハウジングボウル732上に下部電極706を支持する。ボウル732は、RFバイアスハウジング730のアーム734によって、チャンバ壁プレート718における開口部を通して導管支持プレート738に接続される。好ましい実施形態では、RFバイアスハウジングボウル732およびRFバイアスハウジングアーム734は1つの構成要素として一体的に形成されるが、アーム734およびボウル732はまた、互いにボルト締めまたは接合される2つの別々の構成要素であり得る。
RFバイアスハウジングアーム734は、下部電極706の裏側の空間で、真空チャンバ702の外側から真空チャンバ702の内側にガス冷却剤、液体冷却剤、RFエネルギー、リフトピン制御用のケーブル、電気監視および作動信号など、RF電力および設備を通過させるための1つまたは複数の中空通路を含む。RF供給導管722は、RFバイアスハウジングアーム734から絶縁されており、RFバイアスハウジングアーム734は、RF電源720へのRF電力用の帰還経路を提供する。設備導管740は、設備構成要素用の通路を提供する。設備構成要素のさらなる詳細は、説明の便宜上ここでは示されない。ギャップ710は、好ましくは、閉じ込めリングアセンブリまたはシュラウド(図示せず)によって囲まれる。真空チャンバ702の内部は、真空ポータル780を通して真空ポンプに接続することによって低圧に維持される。
導管支持プレート738は、作動メカニズム742に取り付けられる。サーボ機械モータ、ステッピングモータなどの作動メカニズム742は、例えば、ボールねじなどのねじギア746、およびボールねじを回転させるためのモータによって、垂直リニアベアリング744に取り付けられる。ギャップ710のサイズを調整するための動作中、作動メカニズム742は、垂直リニアベアリング744に沿って移動する。図7Aは、作動メカニズム742がリニアベアリング744上の高い位置にあり、結果として小さなギャップ710aをもたらすときの配置を示している。図7Bは、作動メカニズム742がリニアベアリング744上の中間位置にあるときの配置を示している。示すように、下部電極706、RFバイアスハウジング730、導管支持プレート738、RF電源720はすべて、チャンバハウジング704および上部電極708に対して下に移動し、中程度のサイズのギャップ710bをもたらす。
図7Cは、作動メカニズム742がリニアベアリングの低い位置にあるときの大きなギャップ710cを示している。好ましくは、上部電極および下部電極708、706は、ギャップ調整の間は同軸のままであり、ギャップにわたる上部電極および下部電極の対向する表面は、平行のままである。
この実施形態は、例えば、300mmウエハまたはフラットパネルディスプレイなどの大径基板全体にわたって均一なエッチングを維持するために、多段階プロセスレシピ(BARC、HARC、およびSTRIPなど)中のCCPチャンバ702内の下部電極および上部電極706、708間のギャップ710を調整することを可能にする。特に、このチャンバは、下部電極および上部電極706、708の間に調整可能なギャップを提供するための線形運動を可能にする機械的配置に関係する。
図7Aは、近位端で導管支持プレート738に、および遠位端でチャンバ壁プレート718の段状フランジ728に封止された、横方向に偏向されたベローズ750を示している。段状フランジの内径は、RFバイアスハウジングアーム734が通過するチャンバ壁プレート718における開口部712を画定する。ベローズ750の遠位端は、クランプリング752によってクランプされる。
横方向に偏向されたベローズ750は、RFバイアスハウジング730、導管支持プレート738、および作動メカニズム742の垂直移動を可能にしながら、真空シールを提供する。RFバイアスハウジング730、導管支持プレート738、および作動メカニズム742は、カンチレバーアセンブリと呼ぶことができる。好ましくは、RF電源720は、カンチレバーアセンブリと共に移動し、導管支持プレート738に取り付けることができる。図7Bは、カンチレバーアセンブリが中間位置にあるときに中立位置にあるベローズ750を示す。図7Cは、カンチレバーアセンブリが低い位置にあるときに横方向に偏向されたベローズ750を示す。
ラビリンスシール748が、ベローズ750とプラズマ処理チャンバハウジング704の内部との間に粒子バリアを提供する。固定シールド756が、可動シールドプレート758がカンチレバーアセンブリの垂直移動に対応するために垂直方向に移動するラビリンス溝760(スロット)を提供するように、チャンバ壁プレート718でチャンバハウジング704の内壁に不動に取り付けられる。可動シールドプレート758の外側部分は、下部電極706のすべての垂直位置でスロット内に留まる。
図示の実施形態では、ラビリンスシール748は、ラビリンス溝760を画定するチャンバ壁プレート718における開口部712の周囲でチャンバ壁プレート718の内面に取り付けられた固定シールド756を含む。可動シールドプレート758が取り付けられ、RFバイアスハウジングアーム734から半径方向に延び、アーム734は、チャンバ壁プレート718における開口部712を通過する。可動シールドプレート758は、ラビリンス溝760内に延び、第1のギャップによって固定シールド756から離間され、第2のギャップによってチャンバ壁プレート718の内面から離間され、カンチレバーアセンブリが垂直に移動することを可能にする。ラビリンスシール748は、ベローズ750から剥落された粒子が移動して真空チャンバ内部705に入るのをブロックし、プロセスガスプラズマからのラジカルがベローズ750に移動するのをブロックする。ベローズ750に入ったラジカルは堆積物を形成し、その後堆積物は剥落されるおそれがある。
図7Aは、カンチレバーアセンブリが高い位置(小さなギャップ710a)にあるとき、RFバイアスハウジングアーム734の上のラビリンス溝760内でより高い位置にある可動シールドプレート758を示す。図7Cは、カンチレバーアセンブリが低い位置(大きなギャップ710c)にあるとき、RFバイアスハウジングアーム734の上のラビリンス溝760内でより低い位置にある可動シールドプレート758を示す。図7Bは、カンチレバーアセンブリが中間位置(中程度のギャップ710b)にあるときのラビリンス溝760内で中立または中間位置にある可動シールドプレート758を示す。ラビリンスシール748はRFバイアスハウジングアーム734に関して対称的なものとして示されているが、他の実施形態では、ラビリンスシール748は、RFバイアスアーム734に関して非対称であってもよい。
特定の実施形態では、異なる種類のエッチングリアクタ、例えば異なるタイプのプラズマを形成するための代替または追加のプラズマ発生器を有するものを使用することができる。場合によっては、誘導結合プラズマが使用されてもよい。基板はプラズマに直接曝露されてもよいし、プラズマは基板から離れて生成されてもよい。場合によっては使用することができる例示的なエッチング装置には、各々がカリフォルニア州フリーモントのLam Research社から入手可能なFlex(登録商標)製品ファミリー、Kiyo(登録商標)製品ファミリー、およびVersys(登録商標)製品ファミリーからの製品が挙げられる。
図8は、本明細書の特定の実施形態による誘導結合プラズマエッチング装置800の断面図を概略的に示す。例えば、そのような装置を使用して、限定はしないが、多層ハードマスクの上層および下層、さらに有機平坦化層、ならびに図2A~図2Hおよび図3A~図3Eに関連して説明された他の層を含む、本明細書に記載の層のいずれか1つまたは複数をエッチングすることができる。上述のように、特定の用途に対して、異なるタイプまたは追加のタイプのプラズマ発生器を有することができる他のタイプのエッチングリアクタを所望のように使用することができる。誘導結合プラズマエッチング装置800は、チャンバ壁801および窓811によって構造的に画定された総合エッチングチャンバを含む。チャンバ壁801は、ステンレス鋼またはアルミニウムから製作することができる。窓811は、石英または他の誘電体材料から製作することができる。任意選択の内部プラズマグリッド850は、総合エッチングチャンバを上部サブチャンバ802および下部サブチャンバ803に分割する。プラズマグリッド850は、単一のグリッドまたは複数の個々のグリッドを含むことができる。多くの実施形態では、プラズマグリッド850を除去することができ、それによってサブチャンバ802および803からなるチャンバ空間を利用することができる。
チャック817が、底部内面近くの下部サブチャンバ803内に配される。チャック817は、エッチングプロセスが実施される半導体ウエハ819を受け入れて保持するように構成される。チャック817は、存在する場合、ウエハ819を支持するための静電チャックであり得る。いくつかの実施形態では、エッジリング(図示せず)がチャック817を囲み、チャック817の上に存在する場合、ウエハ819の上面とほぼ平面である上面を有する。チャック817はまた、ウエハをチャックおよびデチャックするための静電電極を含む。この目的のために、フィルタおよびDCクランプ電源(図示せず)が設けられてもよい。チャック817からウエハ819を持ち上げるための他の制御システムもまた、設けられてもよい。チャック817は、RF電源823を使用して充電させることができる。RF電源823は、接続部827を通して整合回路821に接続される。整合回路821は、接続部825を通してチャック817に接続される。このようにして、RF電源823はチャック817に接続される。
コイル833が、窓811の上に配される。コイル833は、導電性材料から製作され、少なくとも1つの完全なターンを含む。図8に示す例示的なコイル833は、3ターンを含む。コイル833の断面は記号で示されており、「X」を有するコイルはページ内に回転して延びるが、「●」を有するコイルはページ外に回転して延びる。RF電源841が、RF電力をコイル833に供給するように構成される。一般に、RF電源841は、接続部845を通して整合回路839に接続される。整合回路839は、接続部843を通してコイル833に接続される。このようにして、RF電源841はコイル833に接続される。任意選択のファラデーシールド849は、コイル833と窓811との間に配される。ファラデーシールド849は、コイル833に対して間隔を置いて離れた関係に維持され得る。ファラデーシールド849は、窓811のすぐ上に配置される。コイル833、ファラデーシールド849、および窓811は各々、互いに実質的に平行になるように構成される。ファラデーシールドは、金属または他の種がプロセスチャンバの誘電体窓上に堆積するのを防止することができる。
プロセスガスは、上部チャンバに配された主注入ポート860を通して、および/またはSTGと呼ばれることもあるサイド注入ポート870を通して供給され得る。真空ポンプ、例えば、1段または2段機械式ドライポンプおよび/またはターボ分子ポンプ840を使用して、プロセスチャンバからプロセスガスを引き出し、プラズマ処理動作中、スロットル弁(図示せず)または振り子弁(図示せず)などの閉ループ制御流量制限デバイスを使用することによってプロセスチャンバ800内の圧力を維持することができる。
装置の動作中、1つまたは複数の反応剤ガスは、注入ポート860および/または870を通して供給され得る。特定の実施形態では、ガスは、主注入ポート860を通してのみ、またはサイド注入ポート870を通してのみ供給され得る。場合によっては、注入ポートは、シャワーヘッドに置き換えることができる。ファラデーシールド849および/または任意選択のグリッド850は、チャンバへのプロセスガスの送給を可能にする内部チャネルおよび孔を含み得る。ファラデーシールド849および任意選択のグリッド850のいずれかまたは両方が、プロセスガスを送給するためのシャワーヘッドとして機能してもよい。
無線周波数電力は、RF電源841からコイル833に供給され、RF電流がコイル833を通って流れるようにする。コイル833を通って流れるRF電流は、コイル833の周りに電磁場を生成する。電磁場は、上部サブチャンバ802内で誘導電流を生成する。様々な生成されたイオンおよびラジカルとウエハ819の物理的および化学的相互作用により、ウエハのフィーチャが選択的にエッチングされる。
プラズマグリッド850が、上部サブチャンバ802と下部サブチャンバ803の両方が存在するように使用される場合、誘導電流は、上部サブチャンバ802に存在するガスに作用し、上部サブチャンバ802に電子-イオンプラズマを生成する。任意選択の内部プラズマグリッド850は、存在する場合、下部サブチャンバ803内の熱電子の数を限定するように作用することができる。いくつかの実施形態では、装置は、下部サブチャンバ803に存在するプラズマがイオン-イオンプラズマであるように設計および動作される。他の実施形態では、装置は、下部サブチャンバ803に存在するプラズマが電子-イオンプラズマであるように設計および動作されてもよい。
揮発性エッチング副生成物は、ポート822を通して下部サブチャンバ803から除去され得る。本明細書に開示されるチャック817は、約30℃~約250℃の範囲の高温で動作することができる。場合によっては、チャック817はまた、例えばチャック817が積極的に冷却されるときなど、より低い温度でも動作することができる。そのような場合、チャック817は、所望に応じて、実質的により低い温度で動作することができる。温度は、エッチングプロセス動作および特定のレシピに依存する。いくつかの実施形態では、チャンバ801は、約1mTorr~約95mTorrの範囲の圧力で動作することができる。特定の実施形態では、圧力はより高くてもよい。
チャンバ801は、クリーンルームまたは製作施設に設置される場合、設備(図示せず)に結合されてもよい。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの設備は、目的の製作施設に設置されると、チャンバ801に結合される。加えて、チャンバ801は、ロボットが典型的な自動操作を使用して半導体ウエハがチャンバ801に出入りすることを可能にする移送チャンバに結合され得る。
いくつかの実施形態では、システムコントローラ830(1つまたは複数の物理的または論理的コントローラを含み得る)が、エッチングチャンバの動作のいくつかまたはすべてを制御する。システムコントローラ830は、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボード、ならびに他の同様の構成要素を含み得る。適切な制御動作を実施するための命令が、プロセッサ上で実行される。これらの命令は、システムコントローラ830に関連付けられたメモリデバイスに記憶されてもよいし、ネットワークを介して提供されてもよい。特定の実施形態では、システムコントローラ830は、システム制御ソフトウェアを実行する。
場合によっては、システムコントローラ830は、ガス濃度、ウエハの移動、ならびに/またはコイル833および/もしくは静電チャック817に供給される電力を制御する。システムコントローラ830は、例えば、適切な濃度で反応剤を提供する1つまたは複数の入口ガス流を発生するために、関連する弁を開閉することによってガス濃度を制御することができる。ウエハの移動は、例えば、ウエハ位置決めシステムを所望のように移動させるように指示することによって制御することができる。コイル833および/またはチャック817に供給される電力を制御し、特定のRF電力レベルを提供することができる。同様に、内部グリッド850が使用される場合、グリッドに適用されるRF電力は、システムコントローラ830によって調整され得る。
システムコントローラ830は、センサ出力(例えば、電力、電位、圧力などが特定の閾値に達したとき)、動作のタイミング(例えば、プロセス中の特定の時間に弁を開く)に基づいて、またはユーザから受け取った命令に基づいて、これらおよび他の態様を制御することができる。例示的なコントローラについて、以下でさらに説明する。
図9は、真空移送モジュール938(VTM)とインターフェースする様々なモジュールを備えた半導体プロセスクラスタアーキテクチャを図示する。複数の保管設備および処理モジュール間でウエハを「移送」するための移送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれることがある。ロードロックまたは移送モジュールとしても知られるエアロック930は、様々な製作プロセスを実施するために個々に最適化され得る4つの処理モジュール920a~920dを備えたVTM938に示されている。例として、処理モジュール920a~920dは、基板エッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/または他の半導体プロセスを実施するために実装され得る。基板エッチング処理モジュールの1つまたは複数(920a~920dのいずれか)は、本明細書に開示されるように実装され得る。エアロック930およびプロセスモジュール920は、「ステーション」と呼ばれることがある。各ステーションは、ステーションをVTM938にインターフェースするファセット936を有する。各ファセットの内側で、センサ1~18は、それぞれのステーション間を移動するときにウエハ926の通過を検出するために使用される。
ロボット922は、ステーション間でウエハ926を移送する。一実施態様では、ロボット922は1つのアームを有し得、別の実施態様では、ロボット922は2つのアームを有し得、各アームは、搬送用のウエハ926などのウエハを取り上げるためのエンドエフェクタ924を有する。大気移送モジュール(ATM)940内のフロントエンドロボット932を使用して、ウエハ926をカセットまたはロードポートモジュール(LPM)942内のフロントオープニングユニファイドポッド(FOUP)934からエアロック930に移送することができる。プロセスモジュール920内のモジュールセンタ928は、ウエハ926を載置するための1つの場所である。ATM940内のアライナ944を使用して、ウエハを位置合わせすることができる。
例示的な処理方法では、ウエハは、LPM942内のFOUP934の1つに載置される。フロントエンドロボット932は、ウエハをFOUP934からアライナ944に移送し、これによりウエハ926は、エッチングまたは処理される前に、適切に中心に置かれることが可能になる。位置合わせされた後、ウエハ926は、フロントエンドロボット932によってエアロック930内に移動される。エアロックモジュールがATMとVTMとの間の環境を一致させる能力を有するので、ウエハ926は、損傷を受けることなく2つの圧力環境の間を移動することができる。エアロックモジュール930から、ウエハ926は、ロボット922によってVTM938を通してプロセスモジュール920a~920dの1つに移動される。このウエハの移動を達成するために、ロボット922は、そのアームの各々にあるエンドエフェクタ924を使用する。ウエハ926は処理されると、ロボット922によってプロセスモジュール920a~920dからエアロックモジュール930に移動される。ここから、ウエハ926は、フロントエンドロボット932によってFOUP934の1つまたはアライナ944に移動され得る。
ウエハの移動を制御するコンピュータは、クラスタアーキテクチャに対してローカルなものであってもよく、または製造フロア内でクラスタアーキテクチャの外部に、もしくは遠隔地に位置され、ネットワークを介してクラスタアーキテクチャに接続されてもよいことに留意されたい。
いくつかの実施態様では、コントローラはシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツール、のうちの1つまたは複数と通信してもよい。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
様々な実施態様において、下層202bをエッチングするために使用される化学物質は、フッ素ベースの化学物質および/または塩素ベースの化学物質を含み得る。一般に、上層202aをエッチングするために使用される化学物質は、下層202bをエッチングするために使用される化学物質とは異なる。例えば、上層202aは、塩素ベースの化学物質でエッチングされてもよく、下層202bは、フッ素ベースの化学物質でエッチングされてもよい別の例では、上層202aは、臭素ベースの化学物質でエッチングされてもよく、下層202bは、塩素ベースの化学物質でエッチングされてもよい。例示的な塩素および臭素ベースの化学物質を、上に示した。例示的なフッ素ベースの化学物質には、例えば、CxyおよびCxyzが挙げられる。
いくつかの実施形態では、下地材料201は、別の構造(例えば、一連の層)の上に有機平坦化層を含み、有機平坦化層は、下地材料201の上面にある。いくつかのそのような実施形態では、有機平坦化層(約40~100nmの厚さを有し得る)は、多層ハードマスク202の上層202aを完全に消費することなく、完全にエッチングされる。そのような実施形態では、上層202aはマスクとして作用し続けてもよく、一方で凹状フィーチャ204は、有機平坦化層の下の一連の層にエッチングされる。他の場合には、多層ハードマスク202の上層202aは、有機平坦化層をエッチングする間に完全に消費され得る。そのような場合、多層ハードマスク202の下層202bは、有機平坦化層のエッチングを完了する間、および/または有機平坦化層の下の一連の層をエッチングする間、マスクとして作用し得る。
プロセスガスは、上部チャンバに配された主注入ポート860を通して、および/またはSTGと呼ばれることもあるサイド注入ポート870を通して供給され得る。真空ポンプ、例えば、1段または2段機械式ドライポンプおよび/またはターボ分子ポンプ840を使用して、エッチングチャンバからプロセスガスを引き出し、プラズマ処理動作中、スロットル弁(図示せず)または振り子弁(図示せず)などの閉ループ制御流量制限デバイスを使用することによってエッチングチャンバ内の圧力を維持することができる。
装置800は、クリーンルームまたは製作施設に設置される場合、設備(図示せず)に結合されてもよい。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの設備は、目的の製作施設に設置されると、装置800に結合される。加えて、装置800は、ロボットが典型的な自動操作を使用して半導体ウエハが装置800に出入りすることを可能にする移送チャンバに結合され得る。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
基板を処理する方法であって、前記方法は、
a.基板を受け取ることであって、
前記基板は、
i.下地材料と、
ii.前記下地材料の上に配された有機平坦化層と、
iii.前記有機平坦化層の上に配された多層ハードマスクであって、前記多層ハードマスクは、
1.無機誘電体ケイ素含有材料を含む下層、および
2.金属酸化物、金属窒化物、または金属酸窒化物を含む上層
を含む多層ハードマスクと、
iv.前記多層ハードマスクの上に配された極紫外線(EUV)フォトレジストの層であって、前記EUVフォトレジストの層は、パターニングされて凹状フィーチャを含み、前記多層ハードマスクの前記上層の部分は、前記凹状フィーチャ内で露出される、EUVフォトレジストの層と、
を含む、基板の受け取りと、
b.前記多層ハードマスクの前記上層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記上層内に拡張し、前記多層ハードマスクの前記下層の部分を露出させることと、
c.前記多層ハードマスクの前記下層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記下層内に拡張し、前記有機平坦化層の部分を露出させることと、
d.前記有機平坦化層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記有機平坦化層内に拡張し、前記下地材料の部分を露出させることと、
e.前記下地材料の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記下地材料内に拡張することと
を含む、方法。
適用例2:
適用例1の方法であって、
前記多層ハードマスクの前記下層は、アモルファスケイ素、酸化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化ケイ素、酸窒化ケイ素、およびそれらの組み合わせからなる群から選択される材料を含む、方法。
適用例3:
適用例1の方法であって、
前記多層ハードマスクの前記下層は、約10nm以下の厚さを有する、方法。
適用例4:
適用例1の方法であって、
前記多層ハードマスクの前記上層の前記金属酸化物、金属窒化物、または金属酸窒化物は、チタン、タンタル、ハフニウム、スズ、ルテニウム、およびそれらの組み合わせからなる群から選択される金属を含む、方法。
適用例5:
適用例1の方法であって、
前記多層ハードマスクの前記上層は、約10nm以下の厚さを有する、方法。
適用例6:
適用例1の方法であって、
(b)の前に、前記基板を第1のプラズマに曝露し、前記凹状フィーチャ内の余分なEUVフォトレジストを除去すること
をさらに含む、方法。
適用例7:
適用例1の方法であって、
前記多層ハードマスクの前記上層の前記露出部分をエッチングすることは、前記基板を第2の反応剤に曝露することを含み、前記第2の反応剤は、塩素含有エッチャントおよび/または臭素含有反応剤を含む、方法。
適用例8:
適用例1の方法であって、
前記多層ハードマスクの前記上層の露出部分をエッチングすることは、前記基板を第2のプラズマに曝露することを含む、方法。
適用例9:
適用例1の方法であって、
前記多層ハードマスクの前記下層の前記露出部分をエッチングすることは、前記基板を第3の反応剤に曝露することを含み、前記第3の反応剤は、フッ素含有反応剤および/または塩素含有反応剤を含む、方法。
適用例10:
適用例1の方法であって、
前記多層ハードマスクの前記下層の前記露出部分をエッチングすることは、前記基板を第3のプラズマに曝露することを含む、方法。
適用例11:
適用例1~10のいずれか一項の方法であって、
前記多層ハードマスクの前記上層と前記下層の両方は各々、約10nm以下の厚さを有する、方法。
適用例12:
適用例1~10のいずれか一項の方法であって、
前記下地材料は、前記有機平坦化層の下に配された酸化物層と、前記酸化物層の下に配された窒化チタン層または酸窒化チタン層と、前記窒化チタン層または酸窒化チタン層の下に配された窒化ケイ素層とを含み、前記下地材料の前記露出部分をエッチングすることは、前記凹状フィーチャを、前記酸化物層内、および前記窒化チタン層または前記酸窒化チタン層内に拡張し、少なくとも(b)および(c)における前記エッチングは、誘導結合プラズマによって駆動される、方法。
適用例13:
適用例12の方法であって、
前記凹状フィーチャが前記酸化物層および前記窒化チタン層または酸窒化チタン層内に拡張された後、前記有機平坦化層を除去することをさらに含む、方法。
適用例14:
適用例1~10のいずれか一項の方法であって、
前記下地材料は、前記有機平坦化層の下に配された犠牲ハードマスク層と、前記犠牲ハードマスク層の下に配された超低k誘電体層と、前記超低k誘電体層の下に配されたキャップ層とを含み、前記下地材料の露出部分をエッチングすることは、前記凹状フィーチャを、前記犠牲ハードマスク層、前記超低k誘電体層、および前記キャップ層内に拡張し、少なくとも(b)および(c)における前記エッチングは、容量結合プラズマによって駆動される、方法。
適用例15:
適用例14の方法であって、
前記犠牲ハードマスク層は、窒化ケイ素を含む、方法。
適用例16:
適用例14の方法であって、
前記凹状フィーチャが前記犠牲ハードマスク層、前記超低k誘電体層、および前記キャップ層内に拡張された後、前記有機平坦化層を除去することをさらに含む、方法。
適用例17:
基板を処理する方法であって、前記方法は、
a.その上に下地材料を有する基板を受け取ることと、
b.前記下地材料上に多層ハードマスクの下層を堆積することであって、前記多層ハードマスクの前記下層は、無機誘電体ケイ素含有材料を含むことと、
c.前記下地材料上に前記多層ハードマスクの上層を堆積することであって、前記多層ハードマスクの前記上層は、金属酸化物、金属窒化物、または金属酸窒化物を含むことと、
d.前記多層ハードマスクの前記上層上に極紫外線フォトレジストを堆積することと
を含む、方法。
適用例18:
適用例17の方法であって、
前記多層ハードマスクの前記下層および前記上層の各々は、約10nm以下の厚さを有する、方法。
適用例19:
適用例17または18の方法であって、
前記多層ハードマスクの前記下層および前記上層の各々は、原子層堆積またはプラズマ強化原子層堆積のいずれかにより堆積される、方法。
適用例20:
基板を処理するための装置であって、前記装置は、
a.反応チャンバと、
b.前記反応チャンバ内に配された基板支持体と、
c.前記反応チャンバ内でプラズマを生成するように構成されたプラズマ発生器と、
d.前記反応チャンバへの1つまたは複数の入口と、
e.少なくとも1つのプロセッサおよびメモリを有するコントローラであって、前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、前記メモリは、前記少なくとも1つのプロセッサを制御して適用例1~19の方法のいずれかを実行させるためのコンピュータ実行可能命令を記憶するコントローラと
を備える、装置。
適用例21:
基板を処理するための装置であって、前記装置は、
a.反応チャンバと、
b.前記反応チャンバ内に配された基板支持体と、
c.前記反応チャンバ内でプラズマを生成するように構成されたプラズマ発生器と、
d.前記反応チャンバへの1つまたは複数の入口と、
e.少なくとも1つのプロセッサおよびメモリを有するコントローラであって、前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、前記メモリは、前記少なくとも1つのプロセッサを制御して、
i.前記反応チャンバ内に前記基板を受け取ることであって、前記基板は、
1.下地材料と、
2.前記下地材料の上に配された有機平坦化層と、
3.前記有機平坦化層の上に配された多層ハードマスクであって、前記多層ハードマスクは、
a.無機誘電体ケイ素含有材料を含む下層、および
b.金属酸化物、金属窒化物、または金属酸窒化物を含む上層
を含む多層ハードマスクと、
4.前記多層ハードマスクの上に配された極紫外線(EUV)フォトレジストの層であって、前記EUVフォトレジストの層は、パターニングされて凹状フィーチャを含み、前記多層ハードマスクの前記上層の部分は、前記凹状フィーチャ内で露出される、EUVフォトレジストの層と、
を含む前記基板の受け取りと、
ii.前記多層ハードマスクの前記上層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記上層内に拡張し、前記多層ハードマスクの前記下層の部分を露出させることと、
iii.前記多層ハードマスクの前記下層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記下層内に拡張し、前記有機平坦化層の部分を露出させることと、
iv.前記有機平坦化層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記有機平坦化層内に拡張し、前記下地材料の部分を露出させることと、
v.前記下地材料の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記下地材料内に拡張することと
を実行させるためのコンピュータ実行可能命令を記憶するコントローラと
を備える、装置。
適用例22:
基板を処理するためのシステムであって、前記システムは、
a.堆積を実施するように構成された第1の反応チャンバと、
b.エッチングを実施するように構成された第2の反応チャンバと、
c.少なくとも1つのプロセッサおよびメモリを有するコントローラであって、前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、前記メモリは、前記少なくとも1つのプロセッサを制御して、
i.前記第1の反応チャンバ内に基板を受け取ることと、
ii.前記基板上に多層ハードマスクの下層を堆積することであって、前記多層ハードマスクの前記下層は、無機誘電体ケイ素含有材料を含むことと、
iii.前記多層ハードマスクの前記下層上に前記多層ハードマスクの上層を堆積することであって、前記多層ハードマスクの前記上層は、金属酸化物、金属窒化物、または金属酸窒化物を含むことと、
iv.前記多層ハードマスクの前記上層の上に極紫外線フォトレジストの層を堆積することと、
v.前記極紫外線フォトレジストの層をパターニングして凹状フィーチャを画定し、それによって前記多層ハードマスクの前記上層の部分を露出させることと、
vi.前記基板を前記第2の反応チャンバに移送することと、
vii.前記多層ハードマスクの前記上層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記上層内に拡張し、前記多層ハードマスクの前記下層の部分を露出させることと、
viii.前記多層ハードマスクの前記下層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記下層内に拡張し、前記多層ハードマスクの前記下層の下に配された前記基板の部分を露出させることと
を実行させるためのコンピュータ実行可能命令を記憶するコントローラと
を備える、システム。
適用例23:
適用例22のシステムであって、
前記第2の反応チャンバは、誘導結合プラズマ発生器を備え、前記メモリは、前記少なくとも1つのプロセッサを制御して、第1の誘導結合プラズマを生成し、(vii)で前記多層ハードマスクの前記上層の前記露出部分をエッチングすることと、第2の誘導結合プラズマを生成し、(viii)で前記多層ハードマスクの前記下層の前記露出部分をエッチングすることと、を実行させるためのコンピュータ実行可能命令を記憶する、システム。
適用例24:
適用例22のシステムであって、
前記第2の反応チャンバは、容量結合プラズマ発生器を備え、前記メモリは、前記少なくとも1つのプロセッサを制御して、第1の容量結合プラズマを生成し、(vii)で前記多層ハードマスクの前記上層の前記露出部分をエッチングすることと、第2の容量結合プラズマを生成し、(viii)で前記多層ハードマスクの前記下層の前記露出部分をエッチングすることと、を実行させるためのコンピュータ実行可能命令を記憶する、システム。

Claims (24)

  1. 基板を処理する方法であって、前記方法は、
    a.基板を受け取ることであって、
    前記基板は、
    i.下地材料と、
    ii.前記下地材料の上に配された有機平坦化層と、
    iii.前記有機平坦化層の上に配された多層ハードマスクであって、前記多層ハードマスクは、
    1.無機誘電体ケイ素含有材料を含む下層、および
    2.金属酸化物、金属窒化物、または金属酸窒化物を含む上層
    を含む多層ハードマスクと、
    iv.前記多層ハードマスクの上に配された極紫外線(EUV)フォトレジストの層であって、前記EUVフォトレジストの層は、パターニングされて凹状フィーチャを含み、前記多層ハードマスクの前記上層の部分は、前記凹状フィーチャ内で露出される、EUVフォトレジストの層と、
    を含む、基板の受け取りと、
    b.前記多層ハードマスクの前記上層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記上層内に拡張し、前記多層ハードマスクの前記下層の部分を露出させることと、
    c.前記多層ハードマスクの前記下層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記下層内に拡張し、前記有機平坦化層の部分を露出させることと、
    d.前記有機平坦化層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記有機平坦化層内に拡張し、前記下地材料の部分を露出させることと、
    e.前記下地材料の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記下地材料内に拡張することと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記下層は、アモルファスケイ素、酸化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化ケイ素、酸窒化ケイ素、およびそれらの組み合わせからなる群から選択される材料を含む、方法。
  3. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記下層は、約10nm以下の厚さを有する、方法。
  4. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記上層の前記金属酸化物、金属窒化物、または金属酸窒化物は、チタン、タンタル、ハフニウム、スズ、ルテニウム、およびそれらの組み合わせからなる群から選択される金属を含む、方法。
  5. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記上層は、約10nm以下の厚さを有する、方法。
  6. 請求項1に記載の方法であって、
    (b)の前に、前記基板を第1のプラズマに曝露し、前記凹状フィーチャ内の余分なEUVフォトレジストを除去すること
    をさらに含む、方法。
  7. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記上層の前記露出部分をエッチングすることは、前記基板を第2の反応剤に曝露することを含み、前記第2の反応剤は、塩素含有エッチャントおよび/または臭素含有反応剤を含む、方法。
  8. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記上層の露出部分をエッチングすることは、前記基板を第2のプラズマに曝露することを含む、方法。
  9. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記下層の前記露出部分をエッチングすることは、前記基板を第3の反応剤に曝露することを含み、前記第3の反応剤は、フッ素含有反応剤および/または塩素含有反応剤を含む、方法。
  10. 請求項1に記載の方法であって、
    前記多層ハードマスクの前記下層の前記露出部分をエッチングすることは、前記基板を第3のプラズマに曝露することを含む、方法。
  11. 請求項1~10のいずれか一項に記載の方法であって、
    前記多層ハードマスクの前記上層と前記下層の両方は各々、約10nm以下の厚さを有する、方法。
  12. 請求項1~10のいずれか一項に記載の方法であって、
    前記下地材料は、前記有機平坦化層の下に配された酸化物層と、前記酸化物層の下に配された窒化チタン層または酸窒化チタン層と、前記窒化チタン層または酸窒化チタン層の下に配された窒化ケイ素層とを含み、前記下地材料の前記露出部分をエッチングすることは、前記凹状フィーチャを、前記酸化物層内、および前記窒化チタン層または前記酸窒化チタン層内に拡張し、少なくとも(b)および(c)における前記エッチングは、誘導結合プラズマによって駆動される、方法。
  13. 請求項12に記載の方法であって、
    前記凹状フィーチャが前記酸化物層および前記窒化チタン層または酸窒化チタン層内に拡張された後、前記有機平坦化層を除去することをさらに含む、方法。
  14. 請求項1~10のいずれか一項に記載の方法であって、
    前記下地材料は、前記有機平坦化層の下に配された犠牲ハードマスク層と、前記犠牲ハードマスク層の下に配された超低k誘電体層と、前記超低k誘電体層の下に配されたキャップ層とを含み、前記下地材料の露出部分をエッチングすることは、前記凹状フィーチャを、前記犠牲ハードマスク層、前記超低k誘電体層、および前記キャップ層内に拡張し、少なくとも(b)および(c)における前記エッチングは、容量結合プラズマによって駆動される、方法。
  15. 請求項14に記載の方法であって、
    前記犠牲ハードマスク層は、窒化ケイ素を含む、方法。
  16. 請求項14に記載の方法であって、
    前記凹状フィーチャが前記犠牲ハードマスク層、前記超低k誘電体層、および前記キャップ層内に拡張された後、前記有機平坦化層を除去することをさらに含む、方法。
  17. 基板を処理する方法であって、前記方法は、
    a.その上に下地材料を有する基板を受け取ることと、
    b.前記下地材料上に多層ハードマスクの下層を堆積することであって、前記多層ハードマスクの前記下層は、無機誘電体ケイ素含有材料を含むことと、
    c.前記下地材料上に前記多層ハードマスクの上層を堆積することであって、前記多層ハードマスクの前記上層は、金属酸化物、金属窒化物、または金属酸窒化物を含むことと、
    d.前記多層ハードマスクの前記上層上に極紫外線フォトレジストを堆積することと
    を含む、方法。
  18. 請求項17に記載の方法であって、
    前記多層ハードマスクの前記下層および前記上層の各々は、約10nm以下の厚さを有する、方法。
  19. 請求項17または18に記載の方法であって、
    前記多層ハードマスクの前記下層および前記上層の各々は、原子層堆積またはプラズマ強化原子層堆積のいずれかにより堆積される、方法。
  20. 基板を処理するための装置であって、前記装置は、
    a.反応チャンバと、
    b.前記反応チャンバ内に配された基板支持体と、
    c.前記反応チャンバ内でプラズマを生成するように構成されたプラズマ発生器と、
    d.前記反応チャンバへの1つまたは複数の入口と、
    e.少なくとも1つのプロセッサおよびメモリを有するコントローラであって、前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、前記メモリは、前記少なくとも1つのプロセッサを制御して請求項1~19の方法のいずれかを実行させるためのコンピュータ実行可能命令を記憶するコントローラと
    を備える、装置。
  21. 基板を処理するための装置であって、前記装置は、
    a.反応チャンバと、
    b.前記反応チャンバ内に配された基板支持体と、
    c.前記反応チャンバ内でプラズマを生成するように構成されたプラズマ発生器と、
    d.前記反応チャンバへの1つまたは複数の入口と、
    e.少なくとも1つのプロセッサおよびメモリを有するコントローラであって、前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、前記メモリは、前記少なくとも1つのプロセッサを制御して、
    i.前記反応チャンバ内に前記基板を受け取ることであって、前記基板は、
    1.下地材料と、
    2.前記下地材料の上に配された有機平坦化層と、
    3.前記有機平坦化層の上に配された多層ハードマスクであって、前記多層ハードマスクは、
    a.無機誘電体ケイ素含有材料を含む下層、および
    b.金属酸化物、金属窒化物、または金属酸窒化物を含む上層
    を含む多層ハードマスクと、
    4.前記多層ハードマスクの上に配された極紫外線(EUV)フォトレジストの層であって、前記EUVフォトレジストの層は、パターニングされて凹状フィーチャを含み、前記多層ハードマスクの前記上層の部分は、前記凹状フィーチャ内で露出される、EUVフォトレジストの層と、
    を含む前記基板の受け取りと、
    ii.前記多層ハードマスクの前記上層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記上層内に拡張し、前記多層ハードマスクの前記下層の部分を露出させることと、
    iii.前記多層ハードマスクの前記下層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記下層内に拡張し、前記有機平坦化層の部分を露出させることと、
    iv.前記有機平坦化層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記有機平坦化層内に拡張し、前記下地材料の部分を露出させることと、
    v.前記下地材料の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記下地材料内に拡張することと
    を実行させるためのコンピュータ実行可能命令を記憶するコントローラと
    を備える、装置。
  22. 基板を処理するためのシステムであって、前記システムは、
    a.堆積を実施するように構成された第1の反応チャンバと、
    b.エッチングを実施するように構成された第2の反応チャンバと、
    c.少なくとも1つのプロセッサおよびメモリを有するコントローラであって、前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、前記メモリは、前記少なくとも1つのプロセッサを制御して、
    i.前記第1の反応チャンバ内に基板を受け取ることと、
    ii.前記基板上に多層ハードマスクの下層を堆積することであって、前記多層ハードマスクの前記下層は、無機誘電体ケイ素含有材料を含むことと、
    iii.前記多層ハードマスクの前記下層上に前記多層ハードマスクの上層を堆積することであって、前記多層ハードマスクの前記上層は、金属酸化物、金属窒化物、または金属酸窒化物を含むことと、
    iv.前記多層ハードマスクの前記上層の上に極紫外線フォトレジストの層を堆積することと、
    v.前記極紫外線フォトレジストの層をパターニングして凹状フィーチャを画定し、それによって前記多層ハードマスクの前記上層の部分を露出させることと、
    vi.前記基板を前記第2の反応チャンバに移送することと、
    vii.前記多層ハードマスクの前記上層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記上層内に拡張し、前記多層ハードマスクの前記下層の部分を露出させることと、
    viii.前記多層ハードマスクの前記下層の前記露出部分をエッチングし、それによって前記凹状フィーチャを前記多層ハードマスクの前記下層内に拡張し、前記多層ハードマスクの前記下層の下に配された前記基板の部分を露出させることと
    を実行させるためのコンピュータ実行可能命令を記憶するコントローラと
    を備える、システム。
  23. 請求項22に記載のシステムであって、
    前記第2の反応チャンバは、誘導結合プラズマ発生器を備え、前記メモリは、前記少なくとも1つのプロセッサを制御して、第1の誘導結合プラズマを生成し、(vii)で前記多層ハードマスクの前記上層の前記露出部分をエッチングすることと、第2の誘導結合プラズマを生成し、(viii)で前記多層ハードマスクの前記下層の前記露出部分をエッチングすることと、を実行させるためのコンピュータ実行可能命令を記憶する、システム。
  24. 請求項22に記載のシステムであって、
    前記第2の反応チャンバは、容量結合プラズマ発生器を備え、前記メモリは、前記少なくとも1つのプロセッサを制御して、第1の容量結合プラズマを生成し、(vii)で前記多層ハードマスクの前記上層の前記露出部分をエッチングすることと、第2の容量結合プラズマを生成し、(viii)で前記多層ハードマスクの前記下層の前記露出部分をエッチングすることと、を実行させるためのコンピュータ実行可能命令を記憶する、システム。
JP2022550875A 2020-02-28 2021-02-23 Euvパターニングにおける欠陥低減のための多層ハードマスク Pending JP2023516588A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062982956P 2020-02-28 2020-02-28
US62/982,956 2020-02-28
PCT/US2021/019245 WO2021173557A1 (en) 2020-02-28 2021-02-23 Multi-layer hardmask for defect reduction in euv patterning

Publications (1)

Publication Number Publication Date
JP2023516588A true JP2023516588A (ja) 2023-04-20

Family

ID=77490415

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022550875A Pending JP2023516588A (ja) 2020-02-28 2021-02-23 Euvパターニングにおける欠陥低減のための多層ハードマスク

Country Status (6)

Country Link
US (1) US20230343593A1 (ja)
JP (1) JP2023516588A (ja)
KR (1) KR20220148249A (ja)
CN (1) CN115244664A (ja)
TW (1) TW202219644A (ja)
WO (1) WO2021173557A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3258317B1 (en) * 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US10566211B2 (en) * 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR20190085654A (ko) * 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity

Also Published As

Publication number Publication date
WO2021173557A1 (en) 2021-09-02
TW202219644A (zh) 2022-05-16
KR20220148249A (ko) 2022-11-04
CN115244664A (zh) 2022-10-25
US20230343593A1 (en) 2023-10-26

Similar Documents

Publication Publication Date Title
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10998187B2 (en) Selective deposition with atomic layer etch reset
JP6948797B2 (ja) 不揮発性金属をパターニングするためのチャンバ
JP6415035B2 (ja) ギャップフィルのための共形膜蒸着
US20170133202A1 (en) Computer addressable plasma density modification for etch and deposition processes
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
US11987876B2 (en) Chamfer-less via integration scheme
US20230230811A1 (en) Surface modification for metal-containing photoresist deposition
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
US20230066676A1 (en) Core removal
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221025

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240220