JP2024500671A - 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク - Google Patents

広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク Download PDF

Info

Publication number
JP2024500671A
JP2024500671A JP2023535636A JP2023535636A JP2024500671A JP 2024500671 A JP2024500671 A JP 2024500671A JP 2023535636 A JP2023535636 A JP 2023535636A JP 2023535636 A JP2023535636 A JP 2023535636A JP 2024500671 A JP2024500671 A JP 2024500671A
Authority
JP
Japan
Prior art keywords
pedestal
ahm
showerhead
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023535636A
Other languages
English (en)
Inventor
アントニー・アビン
メン・シン
チェン・シンイー
ソンティ・スリーラム
レディ・カプ・シリシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2024500671A publication Critical patent/JP2024500671A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20235Z movement or adjustment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

【課題】【解決手段】本明細書には、低圧条件において広いギャップ電極間隔を設けることにより、基板上にアッシャブルハードマスク(AHM)を堆積させるための方法および関連装置が提供される。広いギャップ電極は、低圧条件における寄生プラズマの制御を容易にし、それにより高選択性、低応力、および低水素のAHMの形成を可能にしてよい。AHMはその後、基板の下地層にフィーチャをエッチングするのに用いられてよい。【選択図】図5

Description

<参照による援用>
本願の一部として、本明細書と同時にPCT出願書が提出される。同時に出願されたPCT出願書に認められる利益または優先権を本願が主張する各出願は、その全てが全ての目的のために参照により本明細書に援用される。
非晶質炭素膜は、メモリデバイスおよびロジックデバイスの製造を含む半導体処理において、ハードマスクおよびエッチング停止層として用いられてよい。これらの膜は、アッシング技術によって除去できるため、アッシャブルハードマスク(AHM)としても知られている。リソグラフィのアスペクト比が増加するにつれて、AHMはより高いエッチング選択性を必要とする。プラズマ強化化学蒸着(PECVD)プロセスを用いる高選択性AHMを形成する現在の方法は、高応力、低モジュラス、および/または、低エッチング選択性を有するAHMをもたらし、AHMのハードマスクとしての有用性を制限している。従って、高エッチング選択性だが低応力を有するAHMを形成することが望ましい。
本明細書に記載の背景技術は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲において、本開示に対する先行技術として明示的にも黙示的にも認められない。
一態様において、アッシャブルハードマスク(AHM)膜を形成する方法が提供される。この方法は、半導体基板を約1Torr(約133.32パスカル)以下の圧力で炭化水素前駆体ガスを含む処理ガスに曝露する工程と、プラズマ強化化学蒸着(PECVD)プロセスによって基板上にAHM膜を堆積させる工程であって、PECVDプロセスは、シャワーヘッドと台座との間でプラズマを点火させる工程を含み、シャワーヘッドおよび台座は、約0.70インチ(約1.78センチメートル)以上のギャップによって離隔している、工程とを含む。
別の態様において、炭化水素前駆体ガスは、最大で約50g/molの分子量を有する化合物を含む。別の態様では、炭化水素前駆体ガスは、少なくとも約0.5のC:H比率を有する化合物を含む。別の態様では、炭化水素前駆体ガスはアセチレン(C22)を含む。別の態様では、炭化水素前駆体は、処理ガスの圧力の約1%~約5%の分圧を有する。別の態様では、この方法はマルチステーションリアクタで実施される。別の態様では、この方法はシングルステーションリアクタで実施される。別の態様では、AHM膜の内部応力は最大で約-1400MPaである。別の態様では、AHM膜のモジュラスは少なくとも約80GPaである。別の態様では、AHM膜の密度は少なくとも約1.5g/cm3である。別の態様では、この方法は、堆積したAHM膜をパターニングし、パターニングされたAHM膜をエッチングして、基板にAHM膜のフィーチャを規定する工程も含む。別の態様では、この方法は、AHM層の下にある基板の層をエッチングする工程も含む。
別の態様では、プラズマ強化化学蒸着(PECVD)リアクタが提供される。PECVDリアクタは、ウエハを保持するように構成された台座と、シャワーヘッドであって、台座とシャワーヘッドとの間の、ウエハに隣接するギャップに処理ガスを分配するように構成されたシャワーヘッドと、台座をシャワーヘッドに対して少なくとも第1および第2の位置に移すように構成された台座昇降機構であって、台座は、第1の位置ではシャワーヘッドから約0.70インチ以下だけ分離し、第2の位置ではシャワーヘッドから約1.45インチ(約3.683センチメートル)以上だけ分離している、台座昇降機構と、を備える。
別の態様では、台座はリフトピン孔を含み、PECVDリアクタは、台座のリフトピン孔を少なくとも部分的に通り、台座の上面からウエハを持ち上げるように構成された複数のリフトピンと、リフトピンに機械的に結合され、リフトピンを上げ下げするように構成されたピン昇降機構も備える。別の態様では、PECVDリアクタは、シャワーヘッドおよび台座を少なくとも部分的に囲むチャンバ壁も備え、台座はシャワーヘッドから第1の距離だけ分離し、チャンバ壁から第2の距離だけ分離し、第1の距離は第2の距離の少なくとも55%の大きさである。
これらの特徴および他の特徴は、図を参照して以下により詳しく説明される。
様々な実施形態による、エッチング動作においてアッシャブルハードマスクを用いる方法の関連動作を示すプロセスフロー図。
様々な実施形態による、様々な圧力および電極間隔における半導体処理チャンバ内のプラズマの図。
圧力と距離との積を関数とする耐圧のグラフ。
様々な実施形態による耐圧の表。
様々な実施形態によるモジュラスに対する応力のグラフ。
様々な実施形態による、モジュラスを関数とするアッシャブルハードマスク中の水素含有量のグラフ。
様々な実施形態による、様々な圧力および電極間隔の面内変位のグラフ。
様々な実施形態による、広いギャップ電極間隔の定圧条件においてアッシャブルハードマスクを形成する方法の関連動作を示すプロセスフロー図。
様々な実施形態による、広いギャップ電極間隔で構成されたプラズマ強化化学蒸着(PECVD)チャンバの概略図。
様々な実施形態を実施するのに適した別のプラズマ強化化学蒸着(PECVD)チャンバの別の概略図。
様々な実施形態を実施するのに適した別のプラズマ強化化学蒸着(PECVD)チャンバの別の概略図。
様々な実施形態を実施するのに適したモジュールクラスタの概略図。
序文および本文:
半導体処理において、マスキング法は、基板をパターニングおよびエッチングするのに用いられる。基板のアスペクト比が増加するにつれて、高選択的ハードマスクの需要が高まる。基板処理には、高エッチング選択性を有しながらも基板を傷付けずに除去しやすいマスクが重要である。アッシャブルハードマスク(AHM)は、エッチング停止層において、または選択的エッチング時に、またはフォトレジストが下地層をマスクするのに十分な厚さを有しない場合に、マスクとして用いることができる。AHMは、ディスプレイまたは他の技術に用いられるガラス基板に用いられてもよい。
AHM膜は、その目的を果たすと、「アッシング」、「プラズマアッシング」、または「乾式剥離」と呼ばれる技術によって除去できる化学組成を有する。AHM膜の一例は、非晶質炭素層または非晶質炭素膜である。AHM膜は、一般に炭素および水素で構成され、必要に応じて微量の1つ以上のドーパント(例えば、窒素、フッ素、ホウ素、およびケイ素)を伴う。AHMの結合構造は、堆積条件によって、sp2(グラファイト状)もしくはsp3(ダイヤモンド状)、またはこれらの組み合わせとは異なってよい。
図1は、エッチング動作において、AHMをハードマスクとして用いる方法の関連動作を示すプロセスフロー図である。以下の説明は主に半導体基板について触れているが、この方法は、ガラス基板を含む他の種類の基板の層にも適用されてよい。AHMによってマスキングされうる材料の例は、誘電体材料(酸化物(例えば、SiO2)および窒化物(例えば、SiNおよびTiN)、ポリシリコン(Poly-Si)、ならびに、金属(アルミニウム(Al)、銅(Cu)、およびタングステン(W))など)を含む。特定の実施形態では、本明細書に記載のAHMは、酸化物、窒化物、またはポリシリコン層をパターニングするのに用いられる。
動作102では、アッシャブルハードマスクは、プラズマ強化化学蒸着(PECVD)によってエッチングされる層の上に堆積される。PECVDプロセスは、堆積チャンバ内でプラズマを生成する工程を含む。以下に図4および図5を参照してさらに説明されるように、プラズマは、比較的低圧の環境において、プラズマの閉じ込めを維持し、寄生プラズマを除去または排除する広いギャップ間隔で生成されてよい。いくつかのプロセスでは、1つ以上のAHM層が堆積される。
動作104では、所望のエッチングパターンに従って、フォトレジストが堆積され、曝露され、現像される。いくつかの実施形態では、フォトレジスト堆積より前にAHM膜の上に反射防止層(ARL)が堆積されてよい。
動作106では、AHM膜は、AHMの露出部分をエッチングすることにより開口される。AHMの開口は、高フッ素ドライエッチングおよび/または高酸素ドライエッチングによって実施されてよい。
次に動作108では、基板層にパターンを転写するために基板層が選択的にエッチングされる。選択的エッチングは、実質的にAHM壁を損なうことなく基板層がエッチングされるように実施されてよい。エッチングの例は、ラジカル系および/またはイオン系のエッチングを含みうる。エッチング化学物質の例は、フッ素含有および塩素含有のエッチング化学物質などのハロゲン系エッチング化学物質を含みうる。例えば、フッ化炭素含有処理ガスから生成された容量結合プラズマは、酸化物層を選択的にエッチングするのに用いられてよい。処理ガスの特定の例はCxy含有処理ガスを含み、必要に応じて酸素(O2)および不活性ガス(C48/CH22/O2/Arなど)を伴う。
最後に、動作110では、AHMを除去するために、アッシング、プラズマアッシング、または乾式剥離と呼ばれる技術が用いられる。アッシングは、高酸素ドライエッチングで実施されてよい。大抵、酸素は真空下でチャンバに導入され、RF電力はプラズマ内で酸素ラジカルを生成してAHMと反応させ、それを水(H2O)、一酸化炭素(CO)、および二酸化炭素(CO2)に酸化させる。必要に応じて、残ったAHM残留物は、アッシング後にウェットエッチングプロセスまたはドライエッチングプロセスで除去されてもよい。結果として生じるのは、パターニングされた基板層である。
高アスペクト比パターニングは、高エッチング選択性を有するAHMを用いる。エッチング選択性は、AHM層のエッチング速度を下地層と比較することによって決定できる。エッチング選択性は、AHM層の水素含有量、屈折率(RI)、透過係数(k)、密度、およびモジュラス、または剛性を決定することによって概算できることがある。通常、低水素含有量、高RI、高k、高密度、および高モジュラス、または高剛性のAHMは、より多くのイオン衝突を含むエッチングプロセスにおいて高エッチング速度に耐えることができる。そのため、低水素含有量、高RI、高k、高密度、および/または、高モジュラスのAHMは、高選択性および低エッチング速度を有し、高アスペクト比の半導体プロセスを処理するために、より効率よく効果的に用いることができる。AHMの所望のエッチング選択性は、エッチングプロセスおよび下地層の組成に依存してよいが、エッチング選択性と上記の材料特性との相互関係は、エッチングプロセスまたは下地層の組成にかかわらず同じままである。ここに記載の選択性の相互関係は、ポリシリコン層、酸化物層、および窒化物層を含む全ての種類の下地層に当てはまる。
連続波(CW)のLFおよびHFプラズマを用いて、比較的高圧(例えば、約4Torr以上:533.29パスカル以上)で形成されたAHM膜は、特定の問題を有しうることが分かっている。例えば、それらは比較的、高内部応力、高水素含有量、低密度、および/または、低硬度/モジュラスを有する可能性がある。
高選択的AHM膜は、通常、高い応力レベルを有する。AHMを形成するいくつかの方法は、PECVDプロセスにおいて連続波のRF電力プラズマを用いる。連続波RF電力の使用は、連続するイオン衝突を生じさせて膜密度を高めることで、炭素原子間により多くのsp3結合を生成することによりエッチング選択性を増加させる。しかし、連続するイオン衝突は、過剰な未結合水素原子を膜に取り込み、重原子量イオンの衝突によって成長膜を改質させる可能性もある。これらの効果は、堆積したAHM膜の応力を増加させるかもしれず、高応力のAHMは線曲がりを示す可能性が高いため、AHMの適用は制限される。
その一方で、低応力レベルおよびそれに伴い少ない線曲がりを有するAHMは、通常低い選択性を有する。AHMを形成するいくつかの方法は、PECVDプロセス中にRF電力プラズマをパルス化する。RF電力のパルス化は、パルス化されたイオン衝突をもたらして応力レベルを低減し、それにより線曲がりを低減する。しかし、パルス化されたイオン衝突はsp3の数も減少させる可能性があり、それが低密度および低選択性をもたらす。低選択性は、同じエッチングプロセスでより厚いAHMを必要とするため、線曲がりの量を増加させる。
一般に、AHMの品質は、より活発なイオンの衝突によって向上できるとされる(高品質は、高選択性、高モジュラス、低水素含有量、および/または、低応力を含む)。プラズマのイオンエネルギを増加させるための一技術は、低圧のPECVDプロセスでAHMを堆積させることである。低圧環境では、プラズイオンの移動はより長い平均自由行程(すなわち、連続する他のイオンとの衝突の間に進んだ平均距離)を有するため、電極によって長距離にわたって加速され、より活発なイオンの衝突をもたらしうる。しかし、通常の処理チャンバは、比較的間隔が狭く配置された電極を有する。その結果、通常よりも低い圧力でAHMを堆積するときに、過剰な望ましくない寄生プラズマが存在するだろう。寄生プラズマとは、所望の位置にないプラズマであって、そのためにPECVDプロセスの有効性を低減する(例えば、ウエハ表面上に不均一な堆積をもたらす、所望のプラズマ電力を奪う、および/または、処理チャンバ表面に望ましくないレベルの堆積をもたらす)プラズマを意味する。
図2Aは、様々な実施形態による、狭い電極ギャップ間隔(例えば、約0.65’’(約1.651センチメートル)の狭いギャップ)および広い電極ギャップ間隔(例えば、約1.0’’(約2.54センチメートル)の広いギャップ)の様々なPECVD動作圧におけるプラズマの形成を示す。図2Aに示されるように、大部分または全てのプラズマは、比較的高圧(例えば、約4Torrおよび約6Torr:約533.29パスカルおよび約799.93パスカル)で動作するときは、狭い電極ギャップの間に含まれる。逆に、動作圧が約1Torrまで低下したときは、多量の寄生プラズマが狭い電極ギャップの間から広がる。同様に、動作圧が約0.5Torr(約66.661パスカル)まで低下したときは、さらに多くのプラズマが電極ギャップの外側に位置する寄生プラズマを形成する。しかし、様々な実施形態で見られるような広いギャップ(例えば、約1.0’’)では、プラズマは実質的に、約1.0Torr(約133.32パスカル)の低圧およびさらに低い0.5Torr(66.661パスカル)で広い電極ギャップ間に閉じ込められたままである。
図2Bは、様々な実施形態で用いられる例示的な処理ガスのパッション曲線を示す。図2Bに示されるように、放電電圧は、圧力と距離との積が約1.5(torr-cm)未満に減少すると著しく増加する。一般にプラズマは、最低放電電圧を有する軌道に大まかに沿って形成されるだろう。よって一般に、シャワーヘッドと台座との間の放電電圧が、台座(または、シャワーヘッド)とチャンバ壁との間の放電電圧よりも小さい、または少なくともそれよりも著しく大きくないことが望ましい(寄生プラズマを除去または排除するため)。データ点202は、0.5Torr(66.661パスカル)で2’’(5.08センチメートル)のギャップにおける放電電圧を示し、台座(または、シャワーヘッド)とチャンバ壁との間のギャップに相当してよい。データ点204は、0.5Torr(66.661パスカル)で1.15’’(2.921センチメートル)のギャップにおける放電電圧を示し、台座とシャワーヘッドとの間のギャップに相当してよい。データ点206は、0.5Torr(66.661パスカル)で0.65’’のギャップにおける放電電圧を示し、台座とシャワーヘッドとの間のギャップに相当してよい。これらの値は、図2Cの表にも示されている。
図2Bおよび図2Cに示されるように、0.5Torr(66.661パスカル)のPEVCD動作圧において、比較的狭い0.65’’のシャワーヘッド-台座ギャップにおける放電電圧は、台座(または、シャワーヘッド)とチャンバ壁との間(例えば、2’’のギャップ)の放電電圧よりも桁違いに大きい。そのような構成では、生成されたプラズマの大半は、台座とシャワーヘッドとの間の所望の位置ではなく、台座(または、シャワーヘッド)とチャンバ壁との間の寄生プラズマになるだろう。
逆に、比較的広い約1.15’’のシャワーヘッド-台座ギャップの放電電圧は、台座(または、シャワーヘッド)とチャンバ壁との間(例えば、2’’のギャップ)の放電電圧の約20%または25%以内である。そのような構成は、放電電圧が約0.65’’のシャワーヘッド-台座ギャップの例のようには寄生プラズマに好都合でないため、寄生プラズマの形成を大幅に低減する。
図3Aは、狭い電極ギャップ(例えば、約0.65’’)の処理チャンバを用いて堆積されたアッシャブルハードマスク(AHM)300、302、および304のモジュラスおよび応力、ならびに、広い電極ギャップ(例えば、約1.15’’)の処理チャンバを用いて堆積されたAHM310のモジュラスおよび応力をグラフ化している。一般に、狭い電極ギャップで堆積されたAHMは、曲線306に沿ったモジュラスおよび応力レベルを有する。つまり、モジュラスの増加は、一般に応力レベルの増加をもたらす。上記のように、一般に高モジュラスだが低応力レベルを有することが望ましい。よって、高モジュラスおよび/または低応力レベルを得るために、曲線306の傾向線を(方向308に)破断するAHMを形成することが望ましいだろう。図3Aに示されるように、広い電極ギャップ(例えば、約1.15’’)の処理チャンバを用いて堆積されうるAHM310は、曲線306の傾向線を方向308に破断でき、それにより狭い電極ギャップ(例えば、約0.65’’)で形成されたAHMよりも高いモジュラスおよび/または低い応力レベルを実現できる。
図3Bは、狭い電極ギャップ(例えば、約0.65’’)の処理チャンバを用いて堆積されたアッシャブルハードマスク(AHM)322、324、326、および328の水素含有量およびモジュラス、ならびに、広い電極ギャップ(例えば、約1.15’’)の処理チャンバを用いて堆積されたAHM310のモジュラスおよび応力をグラフ化している。一般に、狭い電極ギャップで堆積されたAHMは、曲線320に沿った水素割合および応力レベルを有する。上記のように、高モジュラスだが低水素レベルを有することが一般に望ましい。よって、高モジュラスおよび/または低水素割合を得るために、曲線320の傾向線を(方向321に)破断するAHMを形成することが望ましいだろう。図3Bに示されたように、広い電極ギャップ(例えば、約1.15’’)の処理チャンバを用いて堆積されうるAHM310は、曲線320の傾向線を方向321に破断でき、よって、狭い電極ギャップ(例えば、約0.65’’)で形成されたAHMよりも高いモジュラスおよび/または水素割合を実現できる。
図3Cは、0.5Torr(66.661パスカル)、0.6Torr(79.9932パスカル)、0.8Torr(106.66パスカル)、および1.2Torr(159.99パスカル)で堆積されたアッシャブルハードマスク(AHM)の面内変位およびシャワーヘッド-台座ギャップをグラフ化している。一般に、(矢印330で示されたように)面内変位を低減または排除することが望ましい。図3Cに示されたように、低圧および広いシャワーヘッド-台座ギャップで堆積されたAMHは、低レベルの面内変位を有する。
アッシャブルハードマスクの形成:
様々な実施形態による、高選択性および低応力を有する膜を形成するAHM膜の形成方法が提供される。AHM膜堆積法は、内部応力を低減させ(応力をより中立にし)、水素含有量を減少させ、AHMの選択性を増加させるために、必要に応じて、シングルステーションの高LF電力で、連続波(CW)高周波(HF)RFを用いてまたは用いずに、低周波(LF)RFパルスを用いてよい。これらの方法は、所定の応力レベルにおいて向上した選択性で、または、所定の選択性において低減した応力レベルでAHMを生成し、それにより半導体処理におけるAHM性能を向上させる。
各ステーションでは、高LF電力が用いられてよい。様々な実施形態では、プロセスの一般的な範囲は、大幅な応力低減および膜の高密度化により3500~6500WのLF電力/ステーションである。いくつかの実施形態では、実質的にヘリウムのみを含むキャリアガスが用いられてよい。短いLF「オン時間」をもたらす高速パルス周波数および低デューティサイクルは、プラズマが低い平均イオン密度を維持しながらピークイオンエネルギを増加できるようにするだろう。つまり、高速LFパルスのため、高いエネルギを有するイオンは連続波プラズマよりも少ない。
特定の実施形態は、高LF電力/ステーションで、CW HFを用いてまたは用いずに、比較的高速な(25%のデューティサイクルで100Hzよりも大きい)LFパルスを用いる。
図4は、様々な実施形態による、電極間に比較的広いギャップ(例えば、約1.15’’)を有する処理チャンバでAHMを形成する方法の関連動作を示すプロセスフロー図を示す。
動作402において、基板は処理チャンバに受け入れられる。基板は、この動作においてチャンバに提供されてよい、または、前の動作により既にチャンバ内にあってよい。
動作404において、処理チャンバは広い電極ギャップで構成されてよい、および/または、所望の動作圧で構成されてよい(例えば、真空ポンプが処理ガスの導入前、導入中、および/または導入後に用いられて、処理チャンバ内で所望の真空強度が形成されてよい)。処理チャンバが、既に広い電極ギャップで構成され(または、固定電極間隔を有し)、既に所望の動作圧にある場合は、動作404は省略されてよい。
動作406において、基板は炭化水素前駆体を含む処理ガスに曝される。炭化水素前駆体に加えて、不活性ガスキャリアが用いられてよい。不活性ガスは、ヘリウム(He)、アルゴン(Ar)、窒素(N2)、水素(H2)、または、これらいずれかの組み合わせを含んでよい。いくつかの実施形態では、不活性ガスは実質的に全てがヘリウムである。非限定的な例として、動作406は、約1.5Torr(約199.98パスカル)、約1.5~約1.25Torr(約199.98パスカル~約166.65パスカル)、約1.25Torr(約166.65パスカル)、約1.25~約1.0Torr(約166.65パスカル~約133.32パスカル)、約1.0Torr(約133.32パスカル)、約1.0~約0.75Torr(約133.32パスカル~約99.9915パスカル)、約0.75Torr(約99.9915パスカル)、約0.75Torr~約0.5Torr(約99.9915パスカル~約66.661パスカル)、約0.5Torr(約66.661パスカル)、約0.5Torr~約0.25Torr(約66.661パスカル~約33.3305パスカル)、約0.25Torr(約33.3305パスカル)、または約0.25Torr(約33.3305パスカル)未満の動作圧で、基板を処理ガスに曝す工程を含んでよい。
次に動作408において、アッシャブルハードマスクはプラズマを点火することによりPECVDプロセスによって基板上に堆積される。非限定的な例として、動作408は、少なくとも約0.65’’(インチ)、少なくとも約0.70’’、約0.65’’~約0.75’’(約1.905センチメートル)、約0.75’’、約0.75’’~約0.85’’(約2.159センチメートル)、約0.85’’、約0.85’’~約0.95’’(約2.413センチメートル)、約0.95’’、約0.95’’~約1.05’’(約2.667センチメートル)、約1.05’’、約1.05’’~約1.15’’(約2.921センチメートル)、約1.15’’、約1.15’’~約1.25’’(約3.175センチメートル)、約1.25’’、約1.25’’~約1.35’’(約3.429センチメートル)、約1.35’’、約1.35’’~約1.45’’(約3.683センチメートル)、約1.45’’、約1.45’’~約1.55’’(約3.937センチメートル)、約1.55’’、または約1.55’’よりも広い間隔の電極間(例えば、シャワーヘッドと台座との間)でプラズマを点火させる工程を含んでよい。AHMの堆積は、前記の例の電極間隔および動作圧の任意の組み合わせを含んでよい。
いくつかの実施形態では、処理チャンバは、シャワーヘッド-台座距離(すなわち、ギャップ)と台座-チャンバ距離(例えば、平均、最小、および/または最大の台座-チャンバギャップ)との特定比率で構成されてよい。特定の例として、本開示のAMHの形成は、50%よりも大きいシャワーヘッド-台座距離と台座-チャンバ距離との比率(すなわち、台座とチャンバ壁との距離が、台座とシャワーヘッドとの距離の2倍以下であるとき)を有するように処理チャンバを構成することを含んでよい。さらなる例として、処理チャンバは、約55%よりも大きい、約57%よりも大きい、または約60%よりも大きい、シャワーヘッド-台座距離と台座-チャンバ距離との比率を有するように構成されてよい。一例では、処理チャンバは、約57.5%のシャワーヘッド-台座距離と台座-チャンバ距離との比率を有するように構成されてよい。
いくつかの実施形態では、パルス低周波(LF)成分および高周波(HF)成分を有するプラズマを生成するために、デュアルRFプラズマ源が用いられてよい。パルスLF成分は、LF電源をパルス化することによって生成されてよい。いくつかの実施形態では、LF RF電力のパルス化は、低平均イオン密度の高ピークエネルギイオン衝突を生じさせるために、高電力、高速パルス、および低デューティサイクルを用いることを含む。
動作408の結果として生じるのは、AHM膜である。比較的低い動作圧(例えば、0.5Torr:66.661パスカル)および広い電極間隔(例えば、1.15’’)を用いることにより、このプロセスは、より優れたモジュラス:応力比およびより高い選択性(および、より少ない水素)の膜を形成する。
いくつかの実施形態では、パルス周波数は、LF電力のデューティサイクルに応じて平均イオン密度を変化させながら高平均イオンエネルギを維持するように調節されてよい。いくつかの実施形態では、低モジュラスおよび低応力の膜を形成するためにDCが低減されてよい。他の実施形態では、高モジュラスおよび高応力の膜を形成するためにCDが増加されてよい。DCの増加は、AHM膜の堆積速度を増加させてもよい。他のプロセス条件によっては、いずれの種類の膜も好ましいだろう。
台座-シャワーヘッドのギャップ:
図5は、様々な実施形態による、広いギャップの電極間隔で構成可能なプラズマ強化化学蒸着(PECVD)チャンバ500の概略図である。図5に示されるように、チャンバ500は、シャワーヘッド502および台座504を備えてよい。チャンバ500は、台座昇降機構506およびピン昇降機構508を備えてもよい。
台座昇降機構506は、様々な動作中に台座504を(シャワーヘッド502に向けてまたはそこから離れるように)上げ下げするように構成されてよい。例として、台座昇降機構506は、チャンバ500からのウエハの積み降ろしを容易にするために、台座504を位置520まで下げるように構成されてよい。台座504を位置520まで下げることにより、ウエハ搬送装置がチャンバ内の構成部品に影響を与えることなく処理チャンバ500に到達するための附室ができるだろう。
ピン昇降機構508は、必要があれば、台座504の表面からウエハを持ち上げるように構成されてよい。いくつかの実施形態では、ピン昇降機構508は、次に複数のリフトピン512に機械的に結合されるプラテン510または他の構造体を持ち上げるように構成されてよい。リフトピン512は、ピン昇降機構508が適切に起動したときに、存在するウエハをリフトピン512が台座504の表面から持ち上げるように、台座504の孔を通って延びてよい。リフトピン512が台座504の表面と同一平面またはその下方に留まることが望ましいときは、ピン昇降機構は、台座リフト506の位置に基づいて制御されてよい(例えば、台座リフト506の降下により、不用意にリフトピン512が台座504の表面よりも上に延びないことを確かにするため)。いくつかの実施形態では、ピンリフト508は、約0.5’’(約1.27センチメートル)の動作範囲を有してよい(例えば、上方位置530および下方位置530は、約0.5’’だけ離れてよい)。
台座リフト506は、台座をシャワーヘッド502に対して位置520および522、ならびにそれらの間の全ての位置に上げ下げするように構成されてよい。いくつかの実施形態では、台座504が位置522(例えば、最小ギャップの位置)にあるときは、台座504はシャワーヘッド502から0.65インチであってよい。そのような実施形態では、台座504が位置520(例えば、最大ギャップの位置)にあるときは、台座504はシャワーヘッド502から1.50インチ(3.81センチメートル)であってよい。位置524は、シャワーヘッド502と台座504との間の約1.0’’のギャップに相当してよい。本明細書で記載するように、位置520に伴うギャップよりも大きいギャップ、および位置522に伴うギャップよりも小さいギャップも可能である。本明細書に記載の例示的なギャップサイズは、少なくとも図5の構成の異なる実施形態を説明していることを理解されたい。
先に述べた例は、シャワーヘッド502に対する台座504の移動を説明するが、本開示は、台座504が定位置に留まりながらシャワーヘッド502が移動する実施形態も含み、シャワーヘッド502および台座504の両方が互いに対して移動可能な実施形態も含む。
プロセスウィンドウ:
本欄は、AHM膜を形成するために用いられうる様々なプロセスパラメータについて説明する。プロセスパラメータは、以下に説明するような処理チャンバで行われるプラズマ強化化学蒸着プロセスに提供される。
様々な実施形態では、処理チャンバの全圧は、約1.5Torr(約199.98パスカル)、約1.5~約1.25Torr(約199.98パスカル~約166.65パスカル)、約1.25Torr(約166.65パスカル)、約1.25~約1.0Torr(約166.65パスカル~約133.32パスカル)、約1.0Torr(約133.32パスカル)、約1.0~約0.75Torr(約133.32パスカル~約99.9915パスカル)、約0.75Torr(約99.9915パスカル)、約0.75Torr~約0.5Torr(約99.9915パスカル~約66.661パスカル)、約0.5Torr(約66.661パスカル)、約0.5Torr~約0.25Torr(約66.661パスカル~約33.3305パスカル)、約0.25Torr(約33.3305パスカル)、または約0.25Torr(約33.3305パスカル)未満である。いくつかの実施形態では、炭化水素前駆体は、比較的低い分圧(例えば、約0.01Torr~約1.0Torr:約1.3332パスカル~約133.32パスカル)で処理チャンバに存在する。特定の実施形態では、炭化水素前駆体の分圧は、約0.2Torr(約26.6644パスカル)以下である。いくつかの実施形態では、炭化水素前駆体の分圧は、処理チャンバ内の全圧の約1%未満、約1%、約1.5%、約2.0%、約2.5%、約3.0%、約3.5%、約4.0%、約4.5%、約5.0%、約5.5%、約6.0%、または約6.0%よりも大きい。
いくつかの実施形態では、炭化水素前駆体は、式Cxyで定義されるものである(Xは2~10の整数であり、Yは2~24の整数)。例は、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、およびトルエン(C78)を含む。特定の実施形態では、炭化水素前駆体はハロゲン化炭化水素であり、1つ以上の水素原子は、ハロゲン、特に、フッ素、塩素、臭素、および/またはヨウ素で置換される。いくつかの実施形態では、炭化水素前駆体は、最大で約50g/molの分子量を有する化合物を含む。いくつかの実施形態では、炭化水素前駆体は、少なくとも1:2のC:H比を有する。いくつかの実施形態では、炭化水素前駆体はアセチレン(C22)である。いくつかの実施形態では、2つ以上の炭化水素前駆体が用いられてよい。
いくつかの実施形態では、用いられる全不活性ガスの少なくとも約50容量%、または少なくとも約80容量%、または少なくとも約95容量%のヘリウムを含む不活性ガスが用いられる。いくつかの実施形態では、不活性ガスは、実質的に他の不活性ガスのないヘリウムである。
前駆体ガスの体積流量は、特定の処理チャンバ、基板、および他のプロセス条件に依存する。1枚の300mm基板に用いられうる体積流量の例は、約10sccm~約1,000sccmのアセチレン、および約250sccm~約5,000sccmのヘリウムである。いくつかの実施形態では、アセチレンの流量は全流量の約1%~約3%であり、ヘリウムは残りの全流量を含む。いくつかの実施形態では、体積流量は約15sccm~約45sccmのC22であり、約1455sccm~約1485sccmのヘリウムである。いくつかの実施形態では、体積流量は約18sccm~約20sccmのC22であり、約1480sccm~約1482sccmのヘリウムである。全ての値は300mm基板についてである。いくつかの実施形態では、体積流量は約40sccm~約45sccmのC22であり、約1455sccm~約1460sccmのヘリウムである。特記されない限り、本明細書に記載の流量は、300mmウエハ用に構成されたシングルステーションツールのものである。流量は、ステーションの数および基板面積に比例して変化してよい。
本明細書に記載のAHM膜堆積法は、所望のAHM特性を得るために、任意の適した処理温度(例えば、約50℃~約550℃)で実施されてよい。いくつかの実施形態では、処理温度は約100℃~約200℃である。いくつかの実施形態では、処理温度は約150℃~約175℃である。処理温度は、sp2結合対sp3結合の形成が少なくとも部分的に原因で、応力、選択性、および透過性に影響を及ぼしうる。高温は、C-H結合の容易な破壊およびそれに続く水素の拡散を可能にするため、高sp2非晶質炭素ネットワークの形成に都合がよい。例えば、約500℃よりも高い温度で堆積された膜は、大幅に多いsp2CH-CH2結合および比較的少ないsp3結合を有し、増加した炭素含有量および高密度を有し、向上したエッチング選択性と相関してよい。しかし、これらの高sp2膜は、厚いハードマスク用途には適さないかもしれない。例えば膜は、2,000Å以上ではマスクアライメントに十分な透過性を有さない可能性がある。633nmレーザは透明膜および半透明膜には用いられてよいが、高温で形成された膜などより不透明な膜には用いることができない。低温(例えば、約400℃未満)で堆積されたAMH膜は、高温で堆積された膜よりも少ないsp2結合を有してよい。
いくつかの実施形態では、低周波(LF)RF電力は、約100kHz~約2MHzの周波数を有するRF電力を意味する。いくつかの実施形態では、パルス周波数は、LF発生器の動作能力によって制限されてよい。いくつかの実施形態では、LF RF電力は、約400kHz(例えば、430kHz)の周波数のRF電力を有する。高周波RF電力は、約2MHz~約60MHzの周波数を有するRF電力を意味する。いくつかの実施形態では、HF RF電力は、約13.56MHzの周波数のRF電力を有する。
いくつかの実施形態では、HF RF成分およびLF RF成分は同期してパルスできる。HF成分がパルスされた場合は、高電力から低電力にパルスされ、プラズマシースが崩壊するのを防ぐためにオフされない。いくつかの実施形態では、より安定したプラズマを形成するために、LF RF電力のみのパルス化が有利であってよい。
いくつかの実施形態では、LF電力はパルス化され、HF電力は一定である。様々な実施形態では、LF電力は、LF電力のオンおよびオフを切り替えることによってパルス化される。いくつかの実施形態では、LF「オン」電力は、300mm基板あたり少なくとも3000Wである。いくつかの実施形態では、LFオン電力は、300mm基板あたり約3500W~約6500Wである。いくつかの実施形態では、LF「オフ」電力は0Wである。様々な実施形態では、LFオフ電力が0W~LFオン電力になるように、LF電力はLFを非ゼロ電力レベル間で切り替えることによってパルス化される。いくつかの実施形態では、LF電力は約1000W~約6000Wでパルス化される。いくつかの実施形態では、基板あたりのHF電力範囲は、300mm基板あたり約0W~約150Wである。いくつかの実施形態では、基板あたりのHF電力範囲は、約0W~約800Wである。多くの実施形態では、HF RF成分の最小電力およびLF RF成分の最小電力は、プラズマを維持するのに十分である。本明細に記載の全ての電力は、300mm基板あたりのものである。本明細書に記載のRF電力は、一般にステーションの数およびウエハの面積に比例して変化する。電力値は、面積あたりで表されてよい(例えば、2500Wは0.884W/cm2として表されてもよい)。
LFパルスのデューティサイクル(DC)は約10%~約90%であってよい。いくつかの実施形態では、DCは、約10%~約50%、約10%~約30%、または約10%~約20%である。いくつかの実施形態では、DCは、約60%~約90%、約60%~約90%、または約60%~約75%である。様々な実施形態では、LF電力は約100Hz~約1000Hzの周波数でパルス化される。いくつかの実施形態では、LF電力は約50Hz~約2000Hzの周波数でパルス化される。いくつかの実施形態では、LF電力は、少なくとも約200Hzまたは少なくとも約300Hzの周波数でパルス化される。いくつかの実施形態では、DCおよびパルス周波数は、LF電力のオン時間が約200μs~約2500μs、LF電力のオフ時間が約800μs~約7500μsになるように設定される。いくつかの実施形態では、LF電力は約200μs~約300μsのオン期間を有する。
いくつかの実施形態では、台座とシャワーヘッドとのギャップは、少なくとも0.65’’(インチ)、0.65’’~0.75’’、約0.75’’、0.75’’~0.85’’、約0.85’’、0.85’’~0.95’’、約0.95’’、0.95’’~1.05’’、約1.05’’、1.05’’~1.15’’、約1.15’’、1.15’’~1.25’’、約1.25’’、1.25’’~1.35’’、約1.35’’、1.35’’~1.45’’、約1.45’’、1.45’’~1.55’’、約1.55’’、または1.55’’よりも大きい。プラズマのRF電力が増加する、および/または、動作圧が減少するにつれて、台座とシャワーヘッドとのギャップは、堆積したAHMの品質を損なうことなく増加してよい。
本明細書のいくつかのプロセスにおいて、AHM膜は少なくとも700Å/分の速度で堆積する。いくつかの実施形態では、AHM膜は、約700Å/分~約900Å/分の速度で堆積する。LF電力のより長い平均「オン」時間が堆積速度を増加させるため、AHM膜の堆積速度はDCを含む様々な要素に依存してよい。
いくつかの実施形態では、AHM膜を堆積させるためのプロセス条件は、300mmウエハあたり少なくとも約3000W、および約10%~約75%のデューティサイクルで、実質的にヘリウムの不活性ガスを用いてLF電力をパルス化することを含む。いくつかの実施形態では、プロセス条件は、300mmウエハあたり少なくとも6000W、および10%~75%のデューティサイクルで、実質的にヘリウムの不活性ガスを用いてLF電力をパルス化することを含む。いくつかの実施形態では、プロセス条件は、300mmウエハあたり少なくとも約3000W、および10%~40%のデューティサイクルで、実質的にヘリウムの不活性ガスを用いてLF電力をパルス化することを含む。
膜特性:
開示の方法により形成されたAHM膜は、通常、主に炭素および水素からなるが、膜には他の元素が存在してもよい。一般に、マスク中の水素原子の割合が低いほど、モジュラスおよび選択性は高い。いくつかの実施形態では、他の元素がガス混合物に加えられてよい。例えば、ハロゲン化炭化水素が用いられた場合は、ハロゲンは一定割合の膜組成を含んでよい。いくつかの実施形態では、水素濃度は最大で約25原子%である。いくつかの実施形態では、水素濃度は約24~25原子%である。いくつかの実施形態では、炭素濃度は少なくとも約70原子%である。いくつかの実施形態では、炭素濃度は約70~76原子%である。AHM膜に存在しうる他の元素の例は、ハロゲン、窒素、硫黄、ホウ素、酸素、タングステン、チタン、およびアルミニウムを含む。通常、そのような他の元素は、約10原子%以下の量で存在する。
いくつかの実施形態では、本明細書に記載の方法により形成されたAHM膜は、最大約-1400MPa、または約-200MPa~約-1400MPaの内部応力の大きさを有する(負の内部応力は、値が低いほど少ない内部応力を有するように圧縮応力を意味する)。いくつかの実施形態では、AHM膜は、少なくとも約80GPa、または約145GPa~160GPaの弾性率を有する。いくつかの実施形態では、AHM膜は、少なくとも約9GPa、または約15GPa~約17GPaの硬度を有する。いくつかの実施形態では、AHM膜は、少なくとも約1.5g/cm3、または約1.8g/cm3~約1.9g/cm3の密度を有する。
いくつかの実施形態では、本明細書に記載の方法により形成されたAHM膜は、633nmで最大約0.4の透過係数を有する。透過係数は、AHM膜を通る光の移動能力または膜の透明度と相関してよい。いくつかの実施形態では、AHM膜は透明または半透明である。透過係数値が十分に低くないAHM膜は、後のエッチングプロセスにおいてAHM膜をエッチングするために追加の動作が必要になる可能性があり、これは望ましくない。
いくつかの実施形態では、本明細書に記載の方法により堆積したAHM膜の厚さは、約100nm~約2500nmである。一般に、AHM膜の所望の厚さは、エッチングされる下地層およびAHMのエッチング選択性によって異なってよく、エッチングされる下地層が厚いと厚いAHMを必要とする。上記のように、AHM膜は様々な下地材料をエッチングするのに用いられ、各材料について異なるエッチング選択性を有してよい。AHMのエッチング選択性は、材料のエッチング速度とAHMのエッチング速度との比として表されることができ、異なる材料およびエッチング化学物質で異なってよい。
用途:
AHMは通常、基板の1つ以上の下地層をエッチングすることによって半導体デバイスのフィーチャを形成するために用いられる。AHMを用いてエッチングされうる材料は、シリコン(単結晶、ポリシリコン、または非晶質シリコン)、酸化シリコン、窒化シリコン、およびタングステンを含んでよい。いくつかの実施形態では、複数の層が積層され、1枚のAHMを用いてエッチングされる。そのような積層の例は、シリコン層および酸化シリコン層、ならびにタングステン層および窒化シリコン層を含む。いくつかの実施形態では、積層は1枚のAHMを用いてエッチングされる繰り返し層を含む。そのような繰り返し層の例は、酸化シリコン/ポリシリコンの繰り返し層(OPOP)を含む。基板工程および配線工程のフィーチャは、本明細書に記載のAHMを用いてエッチングされてよい。メモリデバイスまたはロジックデバイスのフィーチャは、パターニングされてよい。メモリデバイスの例は、DRAM、NAND、3D NANDを含む。
装置:
実施形態は、プラズマ強化化学蒸着(PECVD)リアクタにおいて実施できる。そのようなリアクタは、多くの異なる形態を取ってよい。様々な実施形態は、既存の半導体処理装置(特に、ラム・リサーチ・コーポレーションから入手可能な、Sequel(商標)リアクタチャンバまたはVector(商標)リアクタチャンバなどのPECVDリアクタ)と相性が良い。様々な実施形態は、マルチステーションツールまたはシングルステーションツールで実施されてよい。特定の実施形態では、4ステーション堆積機構を有する300mmのラムVector(商標)ツール、または6ステーション堆積機構を有する200mmのSequel(商標)ツールが用いられる。
一般に装置は、各々が1つ以上のステーションを含む1つ以上のチャンバまたはリアクタを備えるだろう。チャンバは1枚以上のウエハを収容し、ウエハ処理に適している。1つ以上のチャンバは、回転、振動、または他の揺り動かしを防ぐことによってウエハを定位置に維持する。いくつかの実施形態では、AHM堆積を経たウエハは、プロセス中にチャンバ内の1つのステーションから別のステーションに搬送される。例えば、様々な実施形態により、2000ÅのAHM堆積が完全に1つのステーションで生じてよい、または、500Åの膜が4つのステーションの各々で堆積されてよい。あるいは、全膜厚の他の部分が、任意の数のステーションで堆積されてよい。1枚以上のAHMが堆積される様々な実施形態では、各AHM層を堆積するのに1つ以上のステーションが用いられてよい。処理の間、各ウエハは、台座、ウエハチャック、および/または、他のウエハ保持装置によって定位置に保持される。ウエハが加熱される特定の動作のために、装置は加熱プレートなどのヒータを備えてよい。
図6は、プラズマ強化化学蒸着(PECVD)を用いて材料を堆積するのに用いられうる処理ステーション600の実施形態を概略的に示す。簡略化のために、処理ステーション600は、低圧環境を維持するための処理チャンバ本体602を有する独立型処理ステーションとして描かれている。しかし、複数の処理ステーション600が共通の処理ツール環境を含んでよいことが認識されるだろう。さらに、いくつかの実施形態では、以下に詳しく説明されるものを含む処理ステーション600の1つ以上のハードウェアパラメータは、1つ以上のコンピュータ制御装置によってプログラムで調節されてよいことが認識されるだろう。
処理ステーション600は、処理ガスを分配シャワーヘッド606に供給するための反応物供給システム601と流体連通する。反応物供給システム601は、シャワーヘッド606への供給のために処理ガスを混合および/または調整するための混合容器604を備える。1つ以上の混合容器入口弁620は、混合容器604への処理ガスの導入を制御してよい。同様に、シャワーヘッド入口弁605は、シャワーヘッド606への処理ガスの導入を制御してよい。
例えば、図6の実施形態は、混合容器604に供給される液体反応物を蒸発させるための蒸発点603を含む。いくつかの実施形態では、蒸発点603は加熱式気化器であってよい。そのような気化器から生成された反応物蒸気は、下流の供給管で凝縮する可能性がある。凝縮反応物への不相溶性ガスの曝露は、小粒子を発生させるだろう。これらの小粒子は、配管を詰まらせ、弁動作を妨げ、基板を汚染したりする可能性がある。これらの問題に対処するためのいくつかの手法は、残留反応物を除去するためのスイープおよび/または排気を含む。しかし、供給管のスイープは処理ステーションのサイクルタイムを増加させ、処理ステーションのスループットを低下させる可能性がある。よって、いくつかの実施形態では、蒸発点603の下流の供給管はヒートトレースされてよい。いくつかの例では、混合容器604もヒートトレースされてよい。非限定的な一例では、蒸発点603の下流の配管は、混合容器604において約100℃から約150℃まで広がる上昇温度プロファイルを有する。
いくつかの実施形態では、反応液は液体注入器で蒸発されてよい。例えば、液体注入器は、混合容器の上流のキャリアガス流に液体反応物のパルスを注入してよい。ある状況では、液体注入器は、液体を高圧から低圧に切り替えることによって反応物を蒸発させてよい。別の状況では、液体注入器は液体を分散微小液滴に霧化してよく、分散微小液滴はその後、加熱された供給管で蒸発する。小さい液滴は大きい液滴よりも速く蒸発して、液体注入と完全蒸発との間の遅延を低減してよいことが認識されるだろう。蒸発が速いと、蒸発点603から下流の配管の長さを低減できる。ある状況では、液体注入器は混合容器604に直接取り付けられてよい。別の状況では、液体注入器はシャワーヘッド606に直接取り付けられてよい。
いくつかの実施形態では、蒸発のための液体質量流量および処理ステーション600への供給を制御するために、蒸発点603の上流に液体流量制御装置が設けられてよい。例えば、液体流量制御装置(LFC)は、その下流に設置された熱質量流量計(MFM)を含んでよい。LFCのプランジャ弁は次に、MFMと電気通信する比例・積分・微分(PID)制御装置によって提供されるフィードバック制御信号に応答して調節されてよい。しかし、フィードバック制御を用いて液体流を安定させるには1秒以上かかるだろう。これにより、液体反応物を投与する時間が引き延ばされる可能性がある。よって、いくつかの実施形態では、LFCはフィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態では、LFCは、LFCの感知チューブおよびPID制御装置を停止させることで、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。
シャワーヘッド606は、処理ガスを基板612に向けて分配する。図6に示す実施形態では、基板612はシャワーヘッド606の下に位置し、台座608に載った状態で示されている。シャワーヘッド606は任意の適した形状を有してよく、基板612に処理ガスを分配するのに適した任意の数および配置のポートを有してよいことが認識されるだろう。
いくつかの実施形態では、シャワーヘッド606の下に微小空間607が位置する。処理ステーションの全容積ではなく微小空間でALDプロセスおよび/またはCVDプロセスを実施することで、反応物の曝露時間およびスイープ時間が減少し、プロセス条件(例えば、圧力、温度など)を変更する時間が減少し、処理ガスへの処理ステーションロボットの曝露が制限できる。例示的な微小空間サイズは、0.1リットル~2リットルの容積を含むがこれに限定されない。この微小空間は、生産性スループットにも影響する。サイクルあたりの堆積速度が減少すると、サイクルタイムも同時に減少する。特定の場合では、後者の影響は、所定の目標膜厚に対するモジュールの全スループットを向上させるのに十分なほど著しい。
いくつかの実施形態では、台座608は、(図5および図6に関連して説明されたように)台座608とシャワーヘッド606とのギャップサイズを調節するために上げ下げされてよい。台座608の上げ下げは、基板612を微小空間607に曝す、および/または、微小空間607の容積を変更するために行われてもよい。例えば、基板搬送段階において、台座608は、基板612が台座608の上に載せられるように下げられてよい。堆積プロセス段階では、台座608は、基板612を微小空間607内に位置決めするため、および/または、台座608とシャワーヘッド606との間で所望の広いギャップ空間によりチャンバを構成するために上げられてよく、それにより低圧PECVD動作においてAHMを堆積するときに寄生プラズマが低減できる。いくつかの実施形態では、堆積プロセス中に高流量インピーダンス領域を形成するために、微小空間607は基板612および台座608の一部を完全に囲んでよい。
必要に応じて、台座608は、微小空間607内の処理圧、反応物濃度などを調節するために、堆積プロセス工程中に上げ下げされてよい。処理チャンバ本体602が堆積プロセス中に基圧のままである状況では、台座608を下げることで微小空間607を排気できるだろう。微小空間:処理チャンバの例示的な比率は、1:700~1:10の容積比を含むがこれに限定されない。いくつかの実施形態では、台座の高さは、適したコンピュータ制御装置によってプログラムで調節されてよいことが認識されるだろう。
いくつかの実施形態では、プラズマを生成するためにRF電力はシャワーヘッド606に印加され、台座608は接地される。いくつかの他の実施形態では、シャワーヘッド606が接地され、プラズマを生成するためにRF電力が台座608に印加される。
別の状況では、台座608の高さを調節することで、堆積プロセスに含まれるプラズマ活性化および/または処理サイクル中にプラズマ密度の変更が可能になってよい。堆積プロセス段階の最後に台座608から基板612を取り外せるように、台座608は別の基板搬送段階中に下げられてよい。
本明細書に記載の変形例は高さ調節可能台座を意味するが、いくつかの実施形態では、シャワーヘッド606の位置は、台座608とシャワーヘッド606とのギャップを変更するために(例えば、寄生プラズマを低減もしくは排除するために、および/または、微小空間607の容積を変更するために)、台座608に対して調節されてよいことが認識されるだろう。さらに、台座608および/またはシャワーヘッド606の垂直位置は、本開示の範囲内の任意の適した機構によって変更されてよいことが認識されるだろう。いくつかの実施形態では、台座608は、基板612の向きを回転させるための回転軸を備えてよい。いくつかの実施形態では、1つ以上のこれらの例示的な調節は、1つ以上の適したコンピュータ制御装置によってプログラムで実施されてよいことが認識されるだろう。
図6に示す実施形態に戻ると、シャワーヘッド606および台座608は、プラズマに給電するためにRF電源614および整合ネットワーク616と電気連通する。いくつかの実施形態では、プラズマエネルギは、処理ステーション圧、ガス濃度、RF供給電力、RF供給周波数、およびプラズマ電力パルス時間のうちの1つ以上を制御することによって制御されてよい。例えば、RF電源614および整合ネットワーク616は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適した電力で操作されてよい。適した電力の例は、上記に含まれる。同様に、RF電源614は任意の適した周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源614は、互いに独立して高周波RF電源および低周波RF電源を制御するように構成されてよい。低周波RF周波数の例は、50kHz~700kHzの周波数を含んでよいが、これに限定されない。高周波RF周波数の例は、1.8MHz~2.45GHzの周波数を含んでよいが、これに限定されない。表面反応のためのプラズマエネルギを提供するために、任意の適したパラメータが個々にまたは連続して調節されてよいことが認識されるだろう。非限定的な一例では、プラズマ電力は、連続給電されるプラズマに対する基板表面のイオン衝突を低減するように断続的にパルス化されてよい。
いくつかの実施形態では、プラズマは1つ以上のプラズマモニタによってin-situで監視されてよい。ある状況では、プラズマ電力は1つ以上の電圧電流センサ(例えば、VIプローブ)によって監視されてよい。別の状況では、プラズマ密度および/または処理ガス濃度は、1つ以上の発光分光分析(OES)センサによって測定されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータは、そのようなin-situプラズマモニタからの測定値に基づいてプログラムで調節されてよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで用いられてよい。いくつかの実施形態では、プラズマ特性および他のプロセス特性を監視するために他のモニタが用いられてよいことが認識されるだろう。そのようなモニタは、赤外線(IR)モニタ、音響モニタ、および圧力変換器を含んでよいが、これらに限定されない。
いくつかの実施形態では、プラズマは、入出力制御(IOC)シーケンス命令によって制御されてよい。一例では、プラズマ処理段階のプラズマ条件を設定するための命令は、堆積処理レシピの対応するプラズマ活性化レシピ段階に含まれてよい。いくつかの場合では、処理レシピ段階は、堆積処理段階の全ての命令がその処理段階と同時に実行されるように連続して配置されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータを設定するための命令は、プラズマ処理段階の前のレシピ段階に含まれてよい。例えば、第1のレシピ段階は、不活性ガスおよび/または炭化水素前駆体ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、ならびに第1のレシピ段階の時間遅延命令を含んでよい。続く第2のレシピ段階は、プラズマ発生器を作動させるための命令、および第2のレシピ段階の時間遅延命令を含んでよい。第3のレシピ段階は、プラズマ発生器を停止させるための命令、および第3のレシピ段階の時間遅延命令を含んでよい。これらのレシピ段階は、本開示の範囲内の任意の適した方法でさらに細分化および/または反復されてよいことが認識されるだろう。
いくつかの実施形態では、台座608はヒータ610によって温度制御されてよい。さらにいくつかの実施形態では、堆積処理ステーション600の圧力制御は、バタフライ弁618によって提供されてよい。図6の実施形態に示されるように、バタフライ弁618は、下流の真空ポンプ(図示せず)によって提供された真空を調整する。しかしいくつかの実施形態では、処理ステーション600の圧力制御は、処理ステーション600に導入される1つ以上のガスの流量を変更することによって調節されてもよい。
図7は、マルチステーション処理ツール700の実施形態の概略図を示し、マルチステーション処理ツール700は、搬入ロードロック702および搬出ロードロック704を備え、そのいずれかまたは両方はリモートプラズマ源を備えてよい。ロボット706は、大気圧でウエハを、ポッド708を通じて装填されたカセットから大気ポート710を通じて搬入ロードロック702に移動するように構成されている。ウエハは、ロボット706によって搬入ロードロック702の台座712に設置され、大気ポート710が閉じられ、ロードロックがポンプダウンされる。搬入ロードロック702がリモートプラズマ源を備える場合は、ウエハは処理チャンバ714に搬入される前にロードロック内でリモートプラズマ処理に曝されてよい。さらにウエハは、例えば水分および吸着ガスを除去するために、搬入ロードロック702において加熱されてもよい。次に、処理チャンバ714へのチャンバ搬送ポート716が開き、別のロボット(図示せず)が処理のためにリアクタ内に示された第1のステーションの台座にウエハを設置する。図7に示された実施形態はロードロックを含むが、いくつかの実施形態では、ウエハが処理ステーションに直接搬入されてよいことが認識されるだろう。
図の処理チャンバ714は、図7に示した実施形態で1~4の番号が付けられた4つの処理ステーションを備える。各ステーションは、加熱台座(ステーション1の718)およびガスライン入口を有する。いくつかの実施形態では、各処理ステーションは異なる、または複数の目的を有してよいことが認識されるだろう。図の処理チャンバ714は4つのステーションを備えるが、本開示による処理チャンバは、任意の適した数のステーションを有してよいことが理解されるだろう。例えば処理チャンバは、いくつかの実施形態では5つ以上のステーションを有してよく、他の実施形態では3つ以下のステーションを有してよい。
図7は、処理チャンバ714内でウエハを搬送するためのウエハ搬送システム790の実施形態も示す。いくつかの実施形態では、ウエハ搬送システム790は、ウエハを様々な処理ステーション間、および/または、処理ステーションとロードロックとの間で搬送してよい。任意の適したウエハ搬送システムが用いられてよいことが認識されるだろう。非限定的な例は、ウエハカルーセルおよびウエハ搬送ロボットを含む。図7は、処理ツール700のプロセス条件およびハードウェア状態を制御するために用いられるシステム制御装置750の実施形態も示す。システム制御装置750は、1つ以上のメモリデバイス756、1つ以上の大容量記憶装置754、および1つ以上のプロセッサ752を備えてよい。プロセッサ752は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータ制御基板などを含んでよい。
いくつかの実施形態では、システム制御装置750は、処理ツール700の全ての動作を制御する。システム制御装置750は、大容量記憶装置754に格納され、メモリデバイス756にロードされ、プロセッサ752において実行されるシステム制御ソフトウェア758を実行する。システム制御ソフトウェア758は、タイミング、ガスの混合、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、パージの条件およびタイミング、ウエハ温度、RF電力レベル、RF周波数、基板、台座、チャック、および/またはサセプタの位置、ならびに、処理ツール700が実施する特定プロセスの他のパラメータを制御するための命令を含んでよい。システム制御ソフトウェア758は、任意の適した方法で構成されてよい。例えば、様々な処理ツール部品のサブルーチンまたは制御オブジェクトは、本開示の方法による様々な処理ツールのプロセスを実行するのに必要な処理ツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェア758は、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア758は、上記の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含んでよい。いくつかの実施形態では、システム制御装置750に関連付けられた大容量記憶装置754および/またはメモリデバイス756に格納された他のコンピュータソフトウェアおよび/またはプログラムが用いられてよい。このためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、台座718上に基板を載せ、基板と処理ツール700の他の部品との間隔を制御するために用いられる処理ツール部品のためのプログラムコードを含んでよい。基板位置決めプログラムは、各処理ステーションにおいて、その処理ステーションのシャワーヘッドと台座とのギャップを調節するために用いられるプロセス制御部品のためのプログラムコードを含んでもよい。
処理ガス制御プログラムは、処理ステーション内の圧力を安定させるために、ガスの組成および流量を制御し、必要に応じて堆積前に1つ以上の処理ステーションにガスを流すためのコードを含んでよい。処理ガス制御プログラムは、開示の範囲内でガスの組成および流量を制御するためのコードを含んでよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットル弁、処理ステーションへのガス流などを制御することによって、処理ステーション内の圧力を制御するためのコードを含んでよい。圧力制御プログラムは、開示の圧力範囲内で処理ステーション内の圧力を維持するためのコードを含んでよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱装置への電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してよい。ヒータ制御プログラムは、開示の範囲内で基板の温度を維持するための命令を含んでよい。
プラズマ制御プログラムは、例えば、本明細書に開示のRF電力レベルのいずれかを用いて、1つ以上の処理ステーションの処理電極に印加されるRF電力レベルおよび周波数を設定するためのコードを含んでよい。プラズマ制御プログラムは、各プラズマ曝露期間を制御するためのコードを含んでもよい。
いくつかの実施形態では、システム制御装置750に関連付けられたユーザインタフェースがあってよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件の画像ソフトウェア表示装置、ならびに、ユーザ入力装置(ポインティング装置、キーボード、タッチ画面、マイクなど)を含んでよい。
いくつかの実施形態では、システム制御装置750によって調節されたパラメータは、プロセス条件に関連してよい。非限定的な例は、処理ガスの組成および流量、温度、圧力、プラズマ条件(例えば、RF電力レベル、周波数、曝露時間)などを含む。これらのパラメータは、ユーザインタフェースを用いて入力できるレシピの形でユーザに提供されてよい。
プロセスを監視するための信号は、システム制御装置750のアナログおよび/またはデジタルの入力接続によって様々な処理ツールセンサから提供されてよい。プロセスを制御するための信号は、処理ツール700のアナログおよびデジタルの出力接続で出力されてよい。監視できる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムは、プロセス条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
本開示の実施形態を実施するために、任意の適したチャンバ用いられてよい。例示的な堆積装置は、カリフォルニア州フレモントのラム・リサーチ・コーポレーションから各々入手可能な、ALTUS(登録商標)製品ファミリ、VECTOR(登録商標)製品ファミリ、および/もしくは、SPEED(登録商標)製品ファミリの装置、または、様々な他の市販の処理システムを含むが、これらに限定されない。2つ以上のステーションは、同じ機能を実施してよい。同様に、2つ以上のステーションは異なる機能を実施してよい。各ステーションは、所望の特定の機能/方法を実施するように設計/構成できる。
図8は、特定の実施形態により薄膜堆積プロセスを実施するのに適した処理システムのブロック図である。システム800は、搬送モジュール803を備える。搬送モジュール803は、処理される基板が様々なリアクタモジュール間を移動するときにそれらの汚染リスクを最小限にするため、清浄な加圧環境を提供する。搬送モジュール803には、2つのマルチステーションリアクタ809および810が取り付けられ、各々は、特定の実施形態により原子層堆積(ALD)および/または化学蒸着(CVD)を実施することができる。リアクタ809および810は、開示の実施形態により動作を連続的または非連続的に実施できる複数のステーション811、813、815、および817を備えてよい。ステーションは、加熱された台座または基板支持体、1つ以上のガス入口、シャワーヘッドまたは分散板を備えてよい。
搬送モジュール803には、プラズマ予備洗浄もしくは化学的(非プラズマ)予備洗浄、または、本開示の方法に関連して説明された任意の他のプロセスを実施できる1つ以上のシングルステーション、またはマルチステーションのモジュール807が取り付けられてもよい。いくつかの場合では、モジュール807は様々な処理に用いられ、例えば、堆積プロセスのために基板を用意するのに用いられてよい。モジュール807は、エッチングまたは研磨などの様々な他のプロセスを実施するように設計/構成されてもよい。システム800は、処理の前後にウエハが格納される1つ以上のウエハソースモジュール801も備える。大気搬送チャンバ819の大気ロボット(図示せず)は、まずウエハをソースモジュール801からロードロック821に取り出してよい。搬送モジュール803のウエハ搬送装置(通常、ロボットアーム装置)は、ウエハをロードロック821から搬送モジュール803に取り付けられたモジュールに、およびそのモジュール間で移動させる。
様々な実施形態では、システム制御装置829は、堆積中のプロセス条件を制御するために用いられる。制御装置829は通常、1つ以上のメモリデバイスおよび1つ以上のプロセッサを備えるだろう。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータ制御基板などを含んでよい。
制御装置829は、堆積装置の全ての動作を制御してよい。システム制御装置829は、タイミング、ガスの混合、チャンバ圧、チャンバ温度、ウエハ温度、高周波(RF)電力レベル、ウエハチャックまたは台座の位置、ならびに、特定のプロセスの他のパラメータを制御するための命令のセットを含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、制御装置829に関連付けられたメモリデバイスに格納された他のコンピュータプログラムが用いられてよい。
通常、制御装置829に関連付けられたユーザインタフェースがあるだろう。ユーザインタフェースは、表示画面、装置および/またはプロセス条件の画像ソフトウェア表示装置、ならびに、ユーザ入力装置(ポインティング装置、キーボード、タッチ画面、マイクなど)を含んでよい。
システム制御ロジックは、任意の適した方法で構成されてよい。一般に、ロジックはハードウェアおよび/またはソフトウェアで設計または構成できる。駆動回路構成を制御するための命令は、ハードコードされてよい、またはソフトウェアとして提供されてよい。命令は、「プログラミング」によって提供されてよい。そのようなプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および、ハードウェアとして実装される特定のアルゴリズムを有する他のデバイスにおいてハードコードされたロジックを含む、あらゆる形のロジックを含むことが理解される。プログラミングは、汎用向けプロセッサで実行できるソフトウェアまたはファームウェアの命令も含むことが理解される。システム制御ソフトウェアは、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
ゲルマニウム含有還元剤パルス、水素流、タングステン含有前駆体パルス、およびプロセスシーケンスにおける他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートラン、またはその他)によって作成できる。コンパイル済みオブジェクトコードまたはスクリプトは、プログラムにおいて識別されたタスクを実行するためにプロセッサによって実行される。また、上記のようにプログラムコードもハードコードされてよい。
制御装置パラメータは、例えば、処理ガスの組成および流量、温度、圧力、冷却ガス圧、基板温度、およびチャンバ壁温度などのプロセス条件に関する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインタフェースを用いて入力されてよい。プロセスを監視するための信号は、システム制御装置829のアナログおよび/またはデジタルの入力接続によって提供されてよい。プロセスを制御するための信号は、堆積装置800のアナログおよびデジタルの出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されてよい。例えば、様々なチャンバ部品のサブルーチンまたは制御オブジェクトは、開示の実施形態による堆積プロセス(および、いくつかの場合では他のプロセス)を実行するのに必要なチャンバ部品の動作を制御するように書き込まれてよい。このためのプログラムまたはプログラムセクションの例は、基板位置決めコード、処理ガス制御コード、圧力制御コード、およびヒータ制御コードを含む。
いくつかの実施形態では、制御装置829は、上述の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理構成部品(ウエハ台座、ガス流システムなど)を備える半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と一体化されてよい。この電子機器は「制御装置」と呼ばれ、システムの様々な構成部品または副部品を制御してよい。制御装置829は、プロセス条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、いくつかのシステムにおける高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールに対するウエハ搬入出、ならびに/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出を含む、本明細書に開示されたあらゆるプロセスを制御するようにプログラムされてよい。
概して制御装置は、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式で制御装置に伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造時における1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、制御装置は、システムと統合もしくは結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。例えば、制御装置は、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、またはファブ・ホストコンピュータ・システムの全てもしくは一部であってよい。コンピュータはシステムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査して、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、制御装置は、1つ以上の動作中に実施される各処理工程のパラメータを特定する、データ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、制御装置が接続または制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって上記のように、制御装置は、例えば互いにネットワーク接続する1つ以上の別々の制御装置を含むことと、本明細書に記載のプロセスや制御などの共通の目的に向けて協働することとによって分散されてよい。そのような目的で分散された制御装置の例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路だろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、化学蒸着(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用できる他の半導体処理システムを含んでよい。
上記のように、ツールによって実施される処理工程に応じて、制御装置は、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別の制御装置、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
結び:
前記の実施形態は、明確な理解のためにいくらか詳細に説明されたが、添付の特許請求の範囲内で特定の変更および修正が行われてよいことが明らかだろう。本実施形態のプロセス、システム、および装置を実施する多くの別の方法があることに注意されたい。他の例では、開示の実施形態を必要以上に分かりにくくしないように、周知のプロセス動作は詳細には説明されていない。従って、本実施形態は、例示的であって制限的ではないとみなされ、本明細書に記載の詳細に限定されない。

Claims (15)

  1. アッシャブルハードマスク(AHM)膜を形成する方法であって、
    半導体基板を約1Torr(約133.32パスカル)未満の圧力で処理ガスに曝露する工程であって、前記処理ガスは、炭化水素前駆体ガスを含む、工程と、
    プラズマ強化化学蒸着(PECVD)プロセスによって前記基板上にAHM膜を堆積させる工程であって、前記PECVDプロセスは、シャワーヘッドと台座との間でプラズマを点火させる工程を含み、前記シャワーヘッドおよび前記台座は、約0.70インチ(約1.78センチメートル)以上のギャップによって離隔している、工程と、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記炭化水素前駆体ガスは、最大で約50g/molの分子量を有する化合物を含む、方法。
  3. 請求項1または請求項2のいずれかに記載の方法であって、
    前記炭化水素前駆体ガスは、少なくとも約0.5のC:H比率を有する化合物を含む、方法。
  4. 請求項1または請求項2のいずれかに記載の方法であって、
    前記炭化水素前駆体ガスは、アセチレン(C22)を含む、方法。
  5. 請求項1または請求項2のいずれかに記載の方法であって、
    前記炭化水素前駆体は、前記処理ガスの圧力の約1%~約5%の分圧を有する、方法。
  6. 請求項1または請求項2のいずれかに記載の方法であって、
    前記方法は、マルチステーションリアクタにおいて実施される、方法。
  7. 請求項1または請求項2のいずれかに記載の方法であって、
    前記方法は、シングルステーションリアクタにおいて実施される、方法。
  8. 請求項1または請求項2のいずれかに記載の方法であって、
    前記AHM膜の内部応力は、最大で約-1400MPaである、方法。
  9. 請求項1または請求項2のいずれかに記載の方法であって、
    前記AHM膜のモジュラスは、少なくとも約80GPaである、方法。
  10. 請求項1または請求項2のいずれかに記載の方法であって、
    前記AHM膜の密度は、少なくとも約1.5g/cm3である、方法。
  11. 請求項1または請求項2のいずれかに記載の方法であって、さらに、
    前記堆積したAHM膜をパターニングし、前記パターニングされたAHM膜をエッチングして、前記基板に前記AHM膜のフィーチャを規定する工程を含む、方法。
  12. 請求項11に記載の方法であって、さらに、
    前記AHM層の下にある前記基板の層をエッチングする工程を含む、方法。
  13. プラズマ強化化学蒸着(PECVD)リアクタであって、
    ウエハを保持するように構成された台座と、
    シャワーヘッドであって、前記台座と前記シャワーヘッドとの間のギャップに処理ガスを分配するように構成され、前記ギャップは前記ウエハに隣接する、シャワーヘッドと、
    前記台座を前記シャワーヘッドに対して少なくとも第1の位置および第2の位置に移すように構成された台座昇降機構であって、前記台座は、前記第1の位置では前記シャワーヘッドから約0.70インチ以下だけ分離し、前記第2の位置では前記シャワーヘッドから約1.45インチ(約3.683センチメートル)以上だけ分離している、台座昇降機構と、
    を備える、PECVDリアクタ。
  14. 請求項13に記載のPECVDリアクタであって、
    前記台座は、複数のリフトピン孔を含み、前記PECVDリアクタは、さらに、
    前記台座の前記複数のリフトピン孔を少なくとも部分的に通り、前記台座の上面から前記ウエハを持ち上げるように構成された複数のリフトピンと、
    前記複数のリフトピンに機械的に結合され、前記複数のリフトピンを上げ下げするように構成されたピン昇降機構と、
    を備える、PECVDリアクタ。
  15. 請求項13または請求項14のいずれかに記載のPECVDリアクタであって、さらに、
    前記シャワーヘッドおよび台座を少なくとも部分的に囲むチャンバ壁を備え、前記台座は、前記シャワーヘッドから第1の距離だけ分離し、前記台座は、前記チャンバ壁から第2の距離だけ分離し、前記第1の距離は、前記第2の距離の少なくとも55%の大きさである、PECVDリアクタ。
JP2023535636A 2020-12-18 2021-12-13 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク Pending JP2024500671A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063199322P 2020-12-18 2020-12-18
US63/199,322 2020-12-18
PCT/US2021/063109 WO2022132642A1 (en) 2020-12-18 2021-12-13 High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing

Publications (1)

Publication Number Publication Date
JP2024500671A true JP2024500671A (ja) 2024-01-10

Family

ID=82058551

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023535636A Pending JP2024500671A (ja) 2020-12-18 2021-12-13 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク

Country Status (6)

Country Link
US (1) US20240030028A1 (ja)
JP (1) JP2024500671A (ja)
KR (1) KR20230121962A (ja)
CN (1) CN115720596A (ja)
TW (1) TW202238685A (ja)
WO (1) WO2022132642A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20130333616A1 (en) * 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
TW202113121A (zh) * 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩

Also Published As

Publication number Publication date
KR20230121962A (ko) 2023-08-22
TW202238685A (zh) 2022-10-01
US20240030028A1 (en) 2024-01-25
WO2022132642A1 (en) 2022-06-23
CN115720596A (zh) 2023-02-28

Similar Documents

Publication Publication Date Title
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR102535093B1 (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
JP6038975B2 (ja) 半導体基板を処理する方法
CN110892332B (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
JP2023524253A (ja) ハードマスクの選択性改善のための不活性ガス注入
CN114342043A (zh) 低压下的高密度、模量和硬度的非晶碳膜
US11837441B2 (en) Depositing a carbon hardmask by high power pulsed low frequency RF
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク
US20240234091A9 (en) Depositing a carbon hardmask by high power pulsed low frequency rf
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
TW202409343A (zh) 在非晶形碳膜中的氫減少
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩