KR20210087545A - 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선 - Google Patents

인터벌 컨디셔닝 퍼징으로 쓰루풋 개선 Download PDF

Info

Publication number
KR20210087545A
KR20210087545A KR1020217019616A KR20217019616A KR20210087545A KR 20210087545 A KR20210087545 A KR 20210087545A KR 1020217019616 A KR1020217019616 A KR 1020217019616A KR 20217019616 A KR20217019616 A KR 20217019616A KR 20210087545 A KR20210087545 A KR 20210087545A
Authority
KR
South Korea
Prior art keywords
reaction chamber
wafers
purge
deposition
batch
Prior art date
Application number
KR1020217019616A
Other languages
English (en)
Inventor
천-하오 첸
제레미 데이비드 필즈
프랭크 로렌 파스콸레
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210087545A publication Critical patent/KR20210087545A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

반응 챔버 배치 사이즈를 증가시키기 위한 프로세싱 방법들 및 장치. 증착 기판들 (예를 들어, 웨이퍼들) 을 프로세싱하는 이러한 방법은 반응 챔버 내의 증착 웨이퍼들의 배치의 제 1 부분 상에서 증착을 수행하는 단계, 웨이퍼 프로세싱에 의해 생성된 결함들을 반응 챔버로부터 제거하기 위해 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계; 및 인터벌 컨디셔닝 미드-배치 (mid-batch) 반응 챔버 퍼지에 이어서, 반응 챔버 내의 웨이퍼들의 배치의 또 다른 부분 상에서 증착을 수행하는 단계를 수반한다. 인터벌 컨디셔닝 반응 챔버 퍼지는 챔버 내에서 용인 가능한 결함 (예를 들어, 입자) 생성에 대한 기준을 초과하기 전에 수행되고 그리고 반응 챔버 내에 웨이퍼들이 위치되지 않는 동안 수행된다.

Description

인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
반도체 프로세싱은 통상적으로 효율적인 쓰루풋을 위한 최적화가 종종 바람직한 특수화된 프로세싱 장치에서 발생한다. 이러한 장치는 반도체 제조 동안 웨이퍼들의 배치 (batch) 를 프로세싱하는데 사용된 다양한 하드웨어 피스들 (예를 들어, 기판 지지 피스, 샤워헤드, 등) 을 하우징하는 반응 챔버를 포함할 수도 있다. 일부 경우들에서, 때때로 배치 사이즈로 지칭되는, 챔버 세정들 사이에 반응 챔버에 의해 프로세싱될 수 있는 웨이퍼들의 총 수는, 프로세싱이 중단되고 챔버가 세정을 위해 셧다운될 것을 요구하는, 반응 챔버의 다양한 내부 컴포넌트들 및/또는 업스트림 장치 컴포넌트들 상의 결함들의 축적으로 인해 제한될 수도 있다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
반응 챔버 배치 사이즈를 증가시키기 위한 프로세싱 방법들 및 장치가 본 명세서에 기술된다.
일부 실시 예들에서, 프로세싱 장치에서 증착 기판들을 프로세싱하는 방법이 제공될 수도 있다. 방법은 반응 챔버 내의 증착 웨이퍼들의 배치 (batch) 의 제 1 부분 상에서 증착을 수행하는 단계; 축적 기준에 도달하기 전에 웨이퍼 프로세싱에 의해 생성된 결함들을 반응 챔버로부터 제거하도록 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계; 및 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 반응 챔버 내의 증착 웨이퍼들의 배치의 또 다른 부분 상에서 증착을 수행하는 단계를 포함할 수도 있다.
일부 실시 예들에서, 방법은 반응 챔버 내의 증착 웨이퍼들의 배치의 제 1 부분 상에서 증착을 수행한 후, 웨이퍼들을 반응 챔버로부터 제거하는 단계를 더 포함할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지 동안 반응 챔버 내부에 웨이퍼들이 없을 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 배치의 제 1 부분 동안 증착된 재료의 총 축적에 기초하여 수행될 수도 있다.
일부 이러한 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 반응 챔버 내 증착된 재료의 총 축적이 축적 문턱 값에 도달할 때 수행되고, 그리고 축적 문턱 값은 축적 기준보다 작을 수도 있다.
일부 추가 이러한 실시 예들에서, 방법은 반응 챔버 내의 증착된 재료의 총 축적이 축적 문턱 값에 도달했는지 여부를 결정하는 단계를 더 포함할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 반응 챔버 내 증착된 재료의 총 축적이 축적 문턱 값에 도달했다고 결정하는데 응답하여 수행될 수도 있다.
일부 이러한 실시 예들에서, 반응 챔버 내 증착된 재료의 총 축적은 배치의 제 1 부분에서 프로세싱된 웨이퍼들의 카운트 (count) 에 기초할 수도 있다.
일부 이러한 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 웨이퍼들의 배치의 제 1 부분의 반응 챔버 내에서 프로세싱된 웨이퍼들의 카운트에 기초하여 수행될 수도 있다.
일부 이러한 실시 예들에서, 웨이퍼들의 배치의 제 1 부분은 다수의 웨이퍼들을 포함하고, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 웨이퍼들의 배치의 제 1 부분 동안 프로세싱된 웨이퍼들의 카운트가 웨이퍼들의 수에 도달할 때 수행될 수도 있고, 축적 기준은 제 2 카운트의 웨이퍼들이 반응 챔버 내에서 프로세싱될 때 도달될 수도 있고, 카운트는 제 2 카운트보다 작을 수도 있다.
일부 추가 이러한 실시 예들에서, 방법은 웨이퍼들의 배치의 제 1 부분 동안 프로세싱된 웨이퍼들의 카운트가 웨이퍼들의 수에 도달하였는지 여부를 결정하는 단계를 더 포함할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 웨이퍼들의 배치의 제 1 부분 동안 웨이퍼들의 카운트가 웨이퍼들의 수에 도달했다고 결정하는 것에 응답하여 수행될 수도 있다.
일부 실시 예들에서, 방법은 증착 웨이퍼들의 배치의 다른 부분의 증착을 수행한 후, 축적 기준에 도달하기 전에 반응 챔버로부터 웨이퍼 프로세싱에 의해 생성된 결함들을 제거하도록 제 2 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계; 및 제 2 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 반응 챔버 내의 증착 웨이퍼들의 배치의 제 3 부분 상에서 증착을 수행하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 축적 기준은 배치의 제 1 부분의 기판들의 카운트에 기초할 수도 있다.
일부 실시 예들에서, 축적 기준은 배치의 제 1 부분 동안 증착된 재료의 총 축적에 기초할 수도 있다.
일부 실시 예들에서, 축적 기준에 도달한 후 반응 챔버의 내부 표면들을 세정하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 방법은 반응 챔버에서 증착 기판들의 배치의 제 1 부분의 증착을 수행한 후, 웨이퍼들을 반응 챔버로부터 제거하는 단계를 더 포함할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지 동안 반응 챔버 내부에 웨이퍼들이 존재하지 않을 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 반응 챔버에 유체적으로 연결된 가스 전달 시스템의 하나 이상의 컴포넌트들을 통해 퍼지 가스를 흘리는 단계를 포함할 수도 있다.
일부 이러한 실시 예들에서, 가스 전달 시스템의 컴포넌트들은 샤워헤드, 칼라 (collar), 가스 라인, 밸브들, 매니폴드, 세라믹 티 (ceramic tee), 및/또는 리모트 플라즈마 제어 모듈 중 하나 이상을 포함할 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 2 이상의 플로우 레이트들로 반응 챔버 내로 퍼지 가스를 흘리는 단계를 포함할 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 일정한 플로우 레이트로 반응 챔버 내로 퍼지 가스를 흘리는 단계를 포함할 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 적어도 1 분 동안 반응 챔버 내로 퍼지 가스를 흘리는 단계를 포함할 수도 있다.
일부 실시 예들에서, 방법은 인터벌 컨디셔닝 반응 챔버 퍼지의 적어도 일부 동안, 반응 챔버 내에 플라즈마를 생성하도록 반응 챔버에 RF 전력을 인가하는 단계를 더 포함할 수도 있다.
일부 이러한 실시 예들에서, 인가된 RF의 전력은 반응 챔버로의 RF 전력의 인가 동안 감소될 수도 있다.
일부 추가 이러한 실시 예들에서, 반응 챔버로의 RF 전력의 인가 동안 반응 챔버의 압력이 감소될 수도 있다.
일부 실시 예들에서, 기판을 프로세싱하기 위한 플라즈마 프로세싱 장치가 제공될 수도 있다. 장치는 반응 챔버로서, 내부 챔버 표면들, 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부, 및 플라즈마 및/또는 프로세스 가스들을 챔버로 제공하기 위한 샤워헤드 및 하나 이상의 유입구들, 및 반응 챔버로부터 재료를 제거하기 위한 배기 포트를 포함하는, 반응 챔버를 포함할 수도 있다. 장치는 또한 하나 이상의 유입구들에 유체로 연결된 가스 전달 시스템; 및 제어기로서, 반응 챔버 내의 증착 웨이퍼들의 배치의 제 1 부분 상에서 증착을 수행하는 단계, 가스 전달 시스템으로 하여금 축적 기준에 도달하기 전에 반응 챔버로부터 웨이퍼 프로세싱에 의해 생성된 결함들을 제거하도록 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하게 하는 단계; 및 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 반응 챔버 내의 증착 웨이퍼들의 배치의 또 다른 부분 상에서 증착을 수행하는 단계를 위한 인스트럭션들을 실행하도록 구성되는, 제어기를 포함할 수도 있다.
일부 실시 예들에서, 제어기는 축적 기준에 도달한 후 반응 챔버의 내부 표면들의 세정을 유발하는 단계를 위한 인스트럭션들을 실행하도록 더 구성될 수도 있다.
일부 실시 예들에서, 장치는 반응 챔버로부터 증착 기판들을 제거하도록 구성된 로봇을 더 포함할 수도 있고, 제어기는, 로봇으로 하여금 미드-배치 도달 챔버 퍼지를 수행하기 전에 반응 챔버로부터 증착 기판들을 제거하게 하는 단계를 위한 인스트럭션들을 실행하도록 더 구성될 수도 있다.
일부 실시 예들에서, 가스 전달 시스템으로 하여금 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하게 하는 단계는 또한 퍼지 가스로 하여금 가스 전달 시스템의 하나 이상의 컴포넌트들을 통해 흐르게 할 수도 있고, 그리고 가스 전달 시스템의 컴포넌트들은 샤워헤드, 칼라, 가스 라인, 밸브들, 매니폴드, 세라믹 티, 및/또는 리모트 플라즈마 제어 모듈 중 하나 이상을 포함한다.
일부 실시 예들에서, 증착 기판들 (예를 들어, 웨이퍼들) 을 프로세싱하는 이러한 방법은 반응 챔버 내의 증착 기판들의 배치의 제 1 부분 상에서 증착을 수행하는 단계, 웨이퍼 프로세싱에 의해 생성된 결함들을 반응 챔버 및/또는 상기 가스 라인들, 밸브들, 등과 같은 상기 반응 챔버의 업스트림의 상기 프로세싱 장치의 컴포넌트들로부터 제거하기 위해 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계; 및 인터벌 컨디셔닝하는 반응 챔버 퍼지에 이어서, 반응 챔버 내의 증착 기판들의 배치의 또 다른 부분 상에서 증착을 수행하는 단계를 수반할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지는 챔버 내에서 용인 가능한 결함 (예를 들어, 입자) 생성에 대한 기준을 초과하기 전에 수행된다.
다양한 실시 예들에 따라, 인터벌 컨디셔닝 반응 챔버 퍼지는 예를 들어, 기준선 허용 가능한 최대 레벨의 결함들과 상관되도록 경험적으로 결정된 웨이퍼 카운트 또는 축적된 증착 두께에 의해 트리거될 수도 있다. 퍼지는 일반적으로 생성된 결함들 (예를 들어, 입자들) 을 제거하기 위해 적합한 압력에서 그리고 적합한 시간 동안 챔버 및/또는 시스템을 통한 불활성 가스 (예를 들어, Ar) 의 플로우이다. 적합한 예들은 이하에 더 기술된다.
상기 주지된 바와 같이, 다양한 실시 예들에 따라, 퍼지는 대안적으로 또는 부가적으로 챔버로부터 가스 라인들, 밸브들, 등과 같은 반응 챔버의 업스트림의 프로세싱 장치의 컴포넌트들로 연장할 수도 있다.
다양한 실시 예들에 따라, 증착/퍼지 사이클들은 최대 축적 한계에 도달할 때까지 배치 전체에 걸쳐 반복될 수도 있다.
다양한 실시 예들에 따라, 프로세싱 장치, 예를 들어, 캘리포니아 프리몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Striker Oxide 툴과 같은 ALD 툴의 주기적인 (또한 본 명세서에서 인터벌 또는 미드-배치 (mid-batch) 로 지칭됨) 퍼지는 축적이 구축될 때에도 결함 성능을 개선할 수 있다. 이는 또한 완전한 챔버 및/또는 장치 세정이 요구되기 전에 결함 생성의 기준선 허용 가능한 최대 레벨을 초과하지 않고 최대 축적의 증가를 허용할 수 있다. 따라서, 이 방법은 축적 한계를 확장하는 동안 결함 성능을 개선할 수 있고, 이는 웨이퍼 프로세싱 장치 및 연관된 프로세싱 시스템의 쓰루풋을 증가시킨다.
다양한 실시 예들에서, 방법은 부가적인 하드웨어 없이, 그리고 모니터 웨이퍼들에 대한 입자 측정을 포함하는 프로세싱을 모니터링하고, 설정된 수의 웨이퍼들 후 또는 고정된 축적 한계 후에 퍼지를 트리거하도록 프로그래밍된 제어기를 사용하여 구현될 수도 있다.
특정한 실시 예에서, 웨이퍼들은 축적 한계에 도달할 때까지 프로세싱되고, 축적 한계는 단위 막 특성들에 기초하여 그리고 이들이 드리프트하기 시작할 때 실험적으로 결정된다. 결함들, 예를 들어, 장치 내 타겟을 벗어난 (off-target) 재료 증착으로부터의 입자 생성은 종종보다 높은 축적시 드리프트 막 특성들과 관련하여 주요 이슈들이다. 인터벌 (미드-배치) 컨디셔닝 퍼지는 예를 들어 웨이퍼 카운트 또는 축적에 기초하여 수행된다. 퍼지는 제어 불능 (out of control; OOC) 이벤트가 관찰되기 전에 챔버 표면들, 샤워헤드 및 업스트림 장치 컴포넌트들을 퍼지한다. 이 인터벌 컨디셔닝 퍼지는 최대 축적 한계를 증가시키고, 따라서 쓰루풋의 증가가 있다.
또 다른 양태는 기판을 프로세싱하기 위한 플라즈마 프로세싱 장치를 수반한다. 장치는 내부 챔버 표면들, 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부, 플라즈마 및/또는 프로세스 가스들을 챔버로 제공하기 위한 샤워헤드 및 하나 이상의 유입구들, 및 반응 챔버로부터 재료를 제거하기 위한 하나 이상의 배기 포트를 포함하는 반응 챔버; 및 반응 챔버 내의 증착 기판들의 배치의 제 1 부분 상에서 증착을 수행하고, 반응 챔버 및/또는 가스 라인들, 밸브들, 등과 같은 반응 챔버의 업스트림의 프로세싱 장치의 컴포넌트들로부터 웨이퍼 프로세싱에 의해 생성된 결함들을 제거하기 위해 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하고; 그리고 상기 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 상기 반응 챔버 내의 상기 증착 기판들의 배치의 또 다른 부분 상에서 상기 증착을 수행하기 위한 인스트럭션들을 실행하도록 프로그래밍된 제어기를 더 포함할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지는 챔버 내에서 용인 가능한 결함 (예를 들어, 입자) 생성에 대한 기준을 초과하기 전에 수행된다.
일부 실시 예들에서, 제어기는 목표된 웨이퍼 프로세싱 또는 최대 축적 한계에 도달한 후 반응 챔버의 내부 표면들을 세정하기 위한 인스트럭션들을 실행하도록 더 프로그래밍된다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시 예들에 따른 기판을 프로세싱하기 위한 장치 또는 반응 챔버의 간략화된 도면을 예시한다.
도 2는 개시된 실시 예들에 따른, 반도체 웨이퍼들과 같은 기판들을 프로세싱하기 위한 장치의 반응 챔버의 업스트림의 2 개의 컴포넌트들을 예시한다.
도 3a는 개시된 실시 예들에 따른 방법에 대한 동작들을 도시하는 예시적인 프로세스 흐름도이다.
도 3b는 개시된 실시 예들에 따른 방법에 대한 동작들을 도시하는 또 다른 예시적인 프로세스 흐름도이다.
도 3c는 반도체 웨이퍼들의 배치의 프로세싱 동안 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하기 위한 또 다른 샘플 실시 예 프로세스 플로우를 도시한다.
도 4는 개시된 실시 예들에 따른 방법들 및 장치에 대한 결함 생성의 감소를 예시하는 데이터 플롯이다.
도 5는 개시된 실시 예들에 따른 인터벌 컨디셔닝 퍼지 방법들 및 장치에 대한 샘플 동작 조건들을 제시하는 예시적인 표이다.
도 6은 개시된 실시 예들에 따른 ALD (atomic layer deposition) 원리들의 개략적인 예시이다.
도 7은 특정한 개시된 실시 예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 8은 특정한 개시된 실시 예들을 수행하기 위한 또 다른 예시적인 프로세스 툴의 개략도이다.
도 9a 내지 도 9c는 개시된 실시 예들에 따른 방법들 및 장치에 대한 결함 생성의 감소를 예시하는 부가적인 데이터 플롯들이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 본 명세서에 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 또한, 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 특정한 실시 예들은 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
본 출원에서, 용어들 "웨이퍼" 및 "기판"은 상호 교환 가능하게 사용된다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 달리 언급되지 않는 한, 본 명세서에 인용된 프로세싱 상세들 (예를 들어, 플로우 레이트들, 전력 레벨들, 등) 은 300 ㎜ 직경 기판들을 프로세싱하는 것에, 또는 300 ㎜ 직경 기판들을 프로세싱하도록 구성된 챔버들을 처리하는 것에 관련되고, 그리고 다른 사이즈들의 기판들 또는 챔버들에 대해 적절하게 스케일링될 수 있다. 본 명세서에 기술된 챔버들은 다양한 형상들, 사이즈들, 및 재료들일 수도 있는 워크피스들을 프로세싱하도록 사용될 수도 있다. 반도체 웨이퍼들에 더하여, 특정한 실시 예들에 따라 준비된 챔버들에서 프로세싱될 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.
도입 및 맥락
유효한 반응 챔버 생산성 (또한 고 쓰루풋으로 지칭됨) 을 달성하는 것은 반도체 제조에서 바람직하다. 웨이퍼들의 배치 (batch) 들은 전통적으로 반응 챔버 내부에서 웨이퍼(들) 상의 프로세싱, 예를 들어, 증착을 위해 반응 챔버에 공급된다. 그러나, 다양한 내부 챔버 표면들, 예를 들어, 반응 챔버의 측벽들, 샤워헤드, 및/또는 가스 라인들, 매니폴드들, 밸브들, 등과 같은 반응 챔버의 업스트림의 프로세싱 장치의 컴포넌트들 상으로의 의도하지 않은 타겟을 벗어난 (off-target) 증착, 예를 들어, 챔버 내에서 프로세싱될 웨이퍼들 상으로 이러한 재료들의 플레이킹 (flaking) 에 의해, 최종적인 결함들, 예를 들어, 입자 생성에 기여할 수도 있다. 이러한 타겟을 벗어난 재료들의 플레이킹은 프로세싱될 웨이퍼들을 오염시킬 수도 있고, 따라서 프로세싱된 웨이퍼들의 배치의 전체 품질을 열화시킬 수도 있기 때문에 바람직하지 않다. 다양한 내부 챔버 표면들 상으로 재료들의 의도하지 않은 타겟을 벗어난 증착은 본 명세서에서 "축적 (accumulation)"으로 지칭된다. 복수의 기판들이 챔버의 세정들 사이에 동일한 챔버 내에서 프로세싱됨에 따라, 보다 많은 기판들이 프로세싱됨에 따라 축적이 증가한다. 일부 ALD 프로세스들에 대해, 축적은 실질적으로 선형으로 (예를 들어, 선형의 10 % 이내), 또는 비선형적으로 증가한다 (예를 들어,
Figure pct00001
을 포함하는 3 차 다항식과 같은 다항식 표현, x는 축적이고 y는 챔버 내에서 프로세싱된 기판의 측정된 두께이다). 따라서, 축적이 축적 한계로 지칭될 수도 있는 이러한 두께에 도달할 때, 기판들의 프로세싱이 중단되고 챔버가 세정된다.
종래에, 반응 챔버 배치 사이즈에 도달할 때 반응 챔버 내부의 완전한 세정을 수행하는 것이 요구되고, 최대 수의 웨이퍼들이 챔버 및/또는 다른 장치 컴포넌트들 내에 축적된 타겟을 벗어난 증착으로부터 결함들, 예를 들어, 입자 생성에 의한 프로세싱될 웨이퍼의 상당한 오염 가능성에 앞서 반응 챔버 내에서 프로세싱될 수 있다. 이러한 세정을 수행하는 것은 프로세싱을 위해 내부에 홀딩된 내용물의 반응 챔버가 비워질 것을 필요로 하고, 따라서 잠재적으로 쓰루풋을 감소시키고 특정한 시간 내에 보다 큰 배치의 웨이퍼들의 프로세싱을 방지한다.
반응 챔버 배치 사이즈를 증가시키는 것은 필요한 세정 사이클들 사이에 부가적인 웨이퍼들이 반응 챔버 내에서 프로세싱되게 함으로써 프로세스 및 장치 생산성 (쓰루풋) 을 증가시켜야 한다. 이러한 증가는 인터벌 (컨디셔닝 퍼지, 본 명세서에 기술된 바와 같이, 본 명세서에서 미드-배치 (mid-batch) 반응 챔버 퍼지로서 지칭될 수도 있음) 을 수행함으로써 달성될 수도 있다. 본 명세서에 사용된 "미드-배치"라는 문구는 웨이퍼들의 배치 중간을 의미할 필요는 없다. 대신, 이는 기판들의 배치의 프로세싱이 시작된 후 그리고 웨이퍼들의 배치의 프로세싱이 종료되기 전, 예를 들어, 축적 한계에 도달하기 전 하나 이상의 지점들을 의미한다.
도 1은 본 개시에 따른 프로세스들 및 장치가 구현될 수도 있는 반응 챔버 또는 프로세싱 챔버의 간략화된 예시적인 도면을 제공한다. 반응 챔버 (100) 는 챔버 벽들 (102) 을 포함한다. 기판 (106), 예를 들어, 부분적으로 제조된 반도체 웨이퍼가 프로세싱을 위해 홀딩되는 기판 지지부 (104) 가 프로세싱 챔버 (100) 내에 위치된다. 프로세싱 챔버는 또한 샤워헤드 (108) 및 칼라 (110), 및 프로세스 가스들 및/또는 플라즈마를 위한 하나 이상의 유입구들 (112), 및 하나 이상의 배기 유출구들 (114) 을 포함한다. 일부 실시 예들에서, 직접 및/또는 리모트 플라즈마 소스 (미도시) 가 프로세싱 챔버 내에 또는 프로세싱 챔버의 업스트림에 제공된다. 플라즈마 소스들은 ICP (inductively coupled plasma), CCP (capacitively coupled plasma), 또는 마이크로파 생성 플라즈마, 등일 수도 있는 플라즈마를 생성하기 위한 플라즈마 생성기 컴포넌트들 (예를 들어, 코일들, 전극들, 등) 을 포함한다. 또한 증착 재료를 나타내는 음영 처리된 원들 (115) 이 도 1에 도시되고, 이 도면은 이러한 증착 재료 (115) 가 프로세싱 챔버 (100) 의 표면들, 예컨대 챔버 벽들 (102), 샤워헤드 (108), 칼라 (110) 및 기판 지지부 (104) 상에 축적될 수도 있다는 것을 예시하고; 본 명세서에 기술된 바와 같이, 기판 (106) 상이 아니라 반응 챔버의 내부 상의 이 증착 재료는 챔버 축적으로 간주될 수도 있다. 일단 축적 한계에 도달하면, 이 증착 재료 (115) 는 플레이크 오프될 수도 있고 기판 결함들을 유발함으로써 기판에 부정적으로 영향을 줄 수도 있는 반응 챔버 내에서 그리고 기판 (106) 상으로 전달될 수도 있다.
도 2는 장치의 반응 챔버의 업스트림의 컴포넌트들의 간략화된 예시적인 도면을 예시한다. 반응 챔버 (200) 는 측벽들 (202), 상단부 (203A), 및 하단부 (203B), 하단부 (203B) 의 배기 포트 (214), 샤워헤드 (208), 칼라 (210), 기판 지지부 (204), 및 기판 지지부 (204) 상에 위치된 기판 (206) 을 포함한다. 장치의 가스 전달 시스템 (220) 은 점선 직사각형에 의해 둘러싸이고 제 1 유입구 (212) 와 같은 하나 이상의 유입구들을 통해 샤워헤드 (208) 및 칼라 (210) 를 포함하는 반응 챔버 (200) 에 유체로 연결된다. 반응 챔버 (200) 는 개시된 실시 예들에 따라, 반도체 웨이퍼들과 같은 기판들을 프로세싱하기 위한 PEALD (plasma-enhanced atomic layer deposition) 반응기로 간주될 수도 있다. 가스 전달 시스템 (220) 은 이로 제한되는 것은 아니지만, 샤워헤드 칼라 (210), 샤워헤드 (208) 및/또는 칼라 (210) 에 유체적으로 연결된 세라믹 티 (ceramic tee; CT) (222), CT (222) 를 제 1 유입구 (212) 에 유체로 연결하는 선택 가능한 (optional) 가스 도관, CT (222) 에 유체로 연결된 매니폴드 (224), 프로세싱을 위해 매니폴드 (224) 에 유체로 연결된 유체 라인들 (226A, 226B, 및 226C), CT (222) 에 유체로 연결된 리모트 플라즈마 세정 (RPC) 모듈 (228), 및 가스 도입을 위해 RPC 모듈에 유체로 연결된 유체 라인들 (230A 및 2308B) 을 포함하는 복수의 컴포넌트들을 포함한다. 가스 전달 시스템은 또한 다양한 파이프, 튜빙, 밸브들, 매니폴드들, 플로우 제어 엘리먼트들 (예를 들어, 질량 유량 제어기) 과 같은 다른 컴포넌트들을 포함할 수도 있다.
유체 라인들 (226A, 226B, 및 226C) 은 전구체들, 반응 물질들, 등을 포함할 수도 있는 가스 소스들과 같은 다양한 플로우 엘리먼트들, 뿐만 아니라 배기부에 유체로 연결하거나 반응 챔버로부터 멀어지게 방향을 전환하는 (divert) 방향 전환 라인에 유체로 연결될 수도 있다. 유사하게, 유체 라인들 (230A 및 230B) 은 청정 가스 또는 리모트 플라즈마 소스와 같은 가스 소스들에 연결될 수도 있다. 웨이퍼들의 배치 프로세싱 동안, 증착된 재료 및 다른 오염물들이 가스 전달 시스템 (220) 내에서 발생할 (develop) 수도 있다. 이 재료는 샤워헤드 (208), 칼라 (210), CT (222), 매니폴드 (224), RPC 모듈 (228), 및 이들 컴포넌트들에 연결된 유체 도관들의 내부 표면들과 같은, 접합 지점들 및 매니폴드들과 같은 상이한 가스들이 혼합되는 곳에서 발생될 수도 있다. 이 원치 않은 증착된 재료는 음영 처리된 원들 (215) 로 나타낸다. 이들 오염물들은 결국, 기판 (206) 을 오염시킬 수 있고 바람직하지 않은 기판 결함들을 발생시킬 수 있기 때문에 원치 않는, 도 2의 화살표들로 예시된 바와 같이 기판 (206) 으로 다운 스트림으로 흐르게 될 수도 있다. 이하에 논의된 바와 같이, 일부 실시 예들에서, 이들 가스 전달 컴포넌트들로 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 것이 유리하다.
기판, 예를 들어, 반도체 웨이퍼의 프로세싱은 ALD (Atomic Layer Deposition) 와 같은 다양한 프로세스들에 의해 기판 상의 증착을 수반할 수도 있다. 웨이퍼 프로세싱 동안, 특정한 양의 웨이퍼들, 예를 들어 1, 2 또는 4 개의 웨이퍼들이 프로세싱 챔버 내에서 프로세싱될 수도 있고, 이어서 새로운 프로세싱되지 않은 웨이퍼들의 진입을 허용하도록 나중에 순환될 수도 있다. 특정한 양의 시간이 웨이퍼들의 양들을 프로세싱하는데 소비된 후, 웨이퍼들 상에 증착을 위해 의도된 재료들은 도 1 및 도 2의 업스트림 컴포넌트들 및 챔버 내 및 상에 도시된 입자들 (결함들) 의 존재에 의해 도시된 바와 같이, 챔버 벽들 및 다른 챔버 및/또는 업스트림 컴포넌트들과 같은 의도하지 않은 위치들 상에 축적되기 시작할 수도 있다. 결국, 이러한 타겟을 벗어나 증착된 재료들은 웨이퍼 프로세싱을 오염시키고, 단위 막 특성들로 하여금 드리프트하게 하도록, 챔버 벽들로부터 기판 상으로 입자들을 생성하기 시작할 수도 있고, 예를 들어, 플레이크 (flake) 및 낙하, 또는 그렇지 않으면 이동할 수도 있다.
통상적인 상황들 하에서, 웨이퍼들의 집합, 예를 들어, 1, 2, 또는 4 개의 웨이퍼들과 같은 하나 이상의 웨이퍼들이 프로세싱 챔버 내에서 한번에 프로세싱되고, 예를 들어, 증착이 프로세싱 챔버 내에서 웨이퍼들 상에서 수행된다. 예를 들어, Lam Research Corporation으로부터 입수 가능한 멀티-스테이션 툴에서, 4 개의 웨이퍼들이 프로세싱 챔버로 들어가고, 프로세싱될 수도 있고, 이어서 제거될 수도 있다. 다음에, 4 개의 부가적인 프로세싱되지 않은 웨이퍼들이 프로세싱을 위해 프로세싱 챔버 내로 전달될 수도 있다. 요구된 챔버 세정들 사이의 총 타깃 양 또는 "배치 (batch)"에 도달할 때까지 웨이퍼들의 컬렉션들 (collections) 의 이러한 이송 및 프로세싱은 "배치 프로세싱 (batch processing)"으로 지칭될 수도 있다. 웨이퍼들은 예를 들어 최대 허용 가능한 총 증착 축적 한계 또는 이와 상관된 웨이퍼 카운트에 의해 결정된 최대 반응 챔버 배치 사이즈에 도달할 때까지, 상기 기술된 바와 같이 한번에 하나 이상 연속적으로 프로세싱될 수도 있다. 따라서, 일부 실시 예들에서, 배치 각각은 몇 개의 기판들 내지 수백 개의 기판들을 가질 수도 있는 복수의 기판들을 포함한다.
웨이퍼들의 "배치"는 프로세스 드리프트의 위험 없이 그리고/또는 반응 챔버 내 웨이퍼들의 프로세싱으로 인해, 내부 반응기 컴포넌트들, 특히 측벽들 상의 축적된 타겟을 벗어난 재료 증착의 플레이킹과 같은 입자 생성으로부터 웨이퍼 오염 없이 웨이퍼들을 계속해서 프로세싱하기 위해 반응기가 완전한 세정을 위해 셧다운되어야 하기 전에, 반응 챔버 세정 사이클들 사이에 반응 챔버 내에서 프로세싱될 수 있는 웨이퍼들의 총 수를 지칭한다. 따라서, 웨이퍼들의 "배치"는 축적 한계에 도달하기 전 또는 도달될 때 특정한 ALD 프로세스를 위해 프로세싱될 수도 있는 기판들의 수를 지칭한다. 예를 들어, 특정한 챔버의 ALD 프로세스는 챔버 상의 축적이 챔버에서 프로세싱된 기판들, 즉 축적 한계, 및 기판들의 배치에 역효과를 유발하는 지점인 20,000 Å의 축적 한계를 가질 수도 있고, 챔버 내에서 프로세싱된 기판들의 배치는 20,000 Å의 축적 한계에 도달하기 전에 프로세싱될 수도 있는 기판들의 수로 제한된다. 특정한 실시 예들에서, 배치의 제 1 웨이퍼는 챔버 세정 후 프로세싱된 제 1 웨이퍼이다. 멀티-스테이션 반응기들에서, 복수의 웨이퍼들이 함께 프로세싱되어, 제 1 웨이퍼는 집합적으로 배치로 프로세싱된 제 1 웨이퍼들인 웨이퍼들의 그룹의 일부일 수도 있다. 마지막 웨이퍼는 챔버 세정 전에 프로세싱된 마지막 웨이퍼이다. 멀티-스테이션 반응기들에는 복수의 마지막 웨이퍼들이 있을 것이다.
본 개시는 용인될 수 있는 축적/웨이퍼 카운트의 최대 레벨 전에 웨이퍼 프로세싱이 계속되게 하도록 결함들 (예를 들어, 챔버 또는 업스트림 컴포넌트들에서 생성된 입자들) 을 제거하기 위해 인터벌 또는 미드-배치 퍼지의 대안적인 방법을 제공하고, 챔버는 프로세싱이 계속될 수 있기 전에 세정되어야 한다. 이러한 방식으로, 배치 사이즈들이 증가되고, 축적 한계들이 확장되고, 쓰루풋이 향상된다.
예시적인 실시 예들
일부 실시 예들에서, 인터벌 컨디셔닝 (또는 미드-배치) 반응 챔버 퍼지는 반응 챔버 내부에 웨이퍼들이 없는 동안 웨이퍼들의 배치의 프로세싱 동안 반응 챔버에 유체적으로 연결된 가스 전달 시스템의 컴포넌트들 및/또는 반응 챔버의 퍼지이다. 상기 주지된 바와 같이, 기판들의 배치는 축적 한계에 도달하기 전 또는 도달될 때 특정한 증착 프로세스를 위해 프로세싱될 수도 있는 기판들의 수를 지칭한다. 따라서 이 인터벌 컨디셔닝 반응 챔버 퍼지는 웨이퍼들의 배치의 일부가 프로세싱된 후 그리고 축적 한계에 도달하고 챔버가 세정되기 전에 발생함으로써 웨이퍼들의 배치의 프로세싱 동안 수행된다; 이 인터벌 컨디셔닝 반응 챔버 퍼지가 실행된 후, 동일한 배치의 웨이퍼들의 또 다른 부분이 프로세싱된다. 예를 들어, 웨이퍼들의 배치는 500 개의 웨이퍼들을 가질 수도 있고 그리고 이 배치의 200 개의 웨이퍼들이 프로세싱된 후 (예를 들어, 반응 챔버 내로 로딩되고, 웨이퍼들 상에 목표된 양의 재료를 증착함으로써 프로세싱되고, 이어서 반응 챔버로부터 언로딩됨), 인터벌 컨디셔닝 반응 챔버 퍼지는 반응 챔버 내에 웨이퍼들이 없는 동안 수행될 수도 있고, 이 인터벌 컨디셔닝 반응 챔버 퍼지 후에, 배치 내의 나머지 300 개의 웨이퍼들이 프로세싱될 수도 있다. 일부 예들에서, 2 이상의 인터벌 컨디셔닝 반응 챔버 퍼지는 웨이퍼들의 배치의 프로세싱 동안 수행될 수도 있다.
이에 더하여 또는 대안적으로, 인터벌 컨디셔닝 반응 챔버 퍼지는 샤워헤드, 가스 라인들, 매니폴드들, 및 밸브들과 같은 반응 챔버에 유체적으로 연결된 가스 전달 시스템의 다양한 엘리먼트들의 퍼지를 포함할 수도 있다.
도 3a는 반도체 웨이퍼들의 배치의 프로세싱 동안 인터벌 (미드-배치) 컨디셔닝 챔버 퍼지를 수행하기 위한 일반적인 프로세스 플로우 (300) 를 도시한다. 프로세스 (300) 는 동작 302에서 시작되고, 이는 304에서 도 1에 예시된 프로세스 챔버와 같은 반응 챔버로 하나 이상의 웨이퍼들을 제공하는 것을 수반한다.
일부 실시 예들에서, 복수의 웨이퍼들은 멀티-스테이션 순차적 프로세싱을 위해 반응 챔버 내로 들어가고 나중에 프로세싱의 완료시 반응 챔버로부터 제거될 수도 있다. 다른 실시 예들에서, 챔버는 한번에 하나의 웨이퍼를 프로세싱하도록 구성될 수도 있다. 상기 주지된 바와 같이, 복수의 웨이퍼들의 프로세싱은 집합적으로 "배치 프로세싱"으로 지칭될 수도 있고, 웨이퍼들의 "배치"는 프로세스 드리프트의 위험 없이 그리고/또는 반응 챔버 내 웨이퍼들의 프로세싱으로 인해, 내부 반응기 컴포넌트들, 특히 측벽들 상의 축적된 타겟을 벗어난 재료 증착의 플레이킹과 같은 입자 생성으로부터 웨이퍼 오염 없이 웨이퍼들을 계속해서 프로세싱하기 위해 반응기가 완전한 세정을 위해 셧다운되어야 하기 전에, 반응 챔버 세정 사이클들 사이에 반응 챔버 내에서 프로세싱될 수 있는 웨이퍼들의 총 수를 지칭한다. 일반적으로, 세정 사이클은, 반응 챔버 내 웨이퍼들의 사전 프로세싱으로 인한 입자 생성, 예를 들어 내부 반응기 컴포넌트들, 특히 측벽들 상에 증착된 축적된 타겟을 벗어난 재료의 플레이킹으로부터 오염 위험 없이 웨이퍼들의 프로세싱을 계속하기 전에 완전한 세정을 수용하도록 반응 챔버의 완전한 비활성화를 수반한다. 일부 예들에서, 반응 챔버에서 프로세싱된 (단일 스테이션 챔버들에 대한) 제 1 웨이퍼 또는 (멀티-스테이션 챔버들에 대한) 웨이퍼들은 챔버가 세정된 후 프로세싱된 제 1 기판들로 간주될 수도 있다.
동작 304에서 웨이퍼들의 배치의 일부의 프로세싱은 배치 내 웨이퍼들의 총 수보다 적은 수의 웨이퍼들의 프로세싱을 포함한다. 상기 예에서, 200 개의 웨이퍼들은 동작 306이 수행되기 전에 프로세싱된 웨이퍼들의 일부일 수도 있다. 일부 이러한 실시 예들에서, 단일 스테이션 반응 챔버에 대해, 동작 304는 반응 챔버 내로 일 웨이퍼의 반복된 로딩, 그 일 웨이퍼 상으로 하나 이상의 재료 층들의 증착과 같은 그 웨이퍼의 프로세싱, 반응 챔버로부터의 그 일 웨이퍼의 제거, 및 또 다른 웨이퍼의 로딩을 포함한다. 일부 실시 예들에서, 멀티-스테이션 반응 챔버에 대해, 동작 304는 반응 챔버 내로 2 이상의 웨이퍼들을 로딩하는 단계, 이들 2 이상의 웨이퍼들의 프로세싱, 반응 챔버로부터 2 이상의 웨이퍼들 중 하나 이상의 제거, 및 반응 챔버 내로 하나 이상의 부가적인 웨이퍼들의 로딩을 포함할 수도 있다.
통상적인 배치 프로세싱 절차들 하에서, 목표된 프로세스 쓰루풋은 웨이퍼들의 배치의 프로세싱 동안 반응 챔버의 내부 표면들 상의 타겟을 벗어난 재료들의 지속적인 축적으로 인해 제한될 수도 있다. 웨이퍼들의 배치의 일부의 최초 프로세싱 후에 수행된 동작 306은 결함들 (예를 들어, 생성된 입자들) 을 제거하기 위해 반응 챔버의 인터벌 컨디셔닝 (미드-배치) 퍼지를 수행함으로써 타겟을 벗어난 증착을 처리한다. 다양한 실시 예들에 따라, 인터벌 컨디셔닝 반응 챔버 퍼지는 예를 들어, 기준선 허용 가능한 최대 레벨의 결함들과 상관되도록 경험적으로 결정된 웨이퍼 카운트 또는 축적된 증착 두께에 의해 트리거될 수도 있다. 인터벌 컨디셔닝 퍼지 동작들로부터 원치 않은 오염을 방지하기 위해 동작 306에서 인터벌 컨디셔닝 반응 챔버 퍼지의 개시 전에 반응 챔버 내의 임의의 웨이퍼들이 반응 챔버로부터 제거된다. 따라서 웨이퍼들은 임의의 인터벌 컨디셔닝 반응 챔버 퍼지 동안 반응 챔버 내에 위치되지 않는다; 따라서, 이 인터벌 컨디셔닝 반응 챔버 퍼지는 ALD 증착 사이클 동안 또는 동일한 기판의 ALD 증착 사이클들 사이에 수행되지 않는다. 동작 306에서 인터벌 컨디셔닝 반응 챔버 퍼지의 완료 후, 웨이퍼들의 배치의 또 다른 부분은 동작 310에서 프로세스 (300) 를 종료하기 전에 동작 308에서 반응 챔버 내에서 프로세싱될 수도 있다. 상기 예에서, 웨이퍼들의 배치의 다른 부분은 200 개의 웨이퍼들의 프로세싱 및 인터벌 컨디셔닝 반응 챔버 모두 후에 프로세싱되는 나머지 300 개의 웨이퍼들이다.
도 3b는 반도체 웨이퍼들의 배치의 프로세싱 동안 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하기 위한 샘플 실시 예 프로세스 플로우 (350) 를 도시한다. 프로세스 (350) 는 이 프로세스 (350) 가 또한 이하에 논의된, 수정된 동작 306, 이제 동작 356, 및 새로운 동작 364 및 동작 362를 포함하는 것을 제외하고, 각각 프로세스 (350) 의 동작 352, 동작 354, 동작 358, 및 동작 360인 동작 302, 동작 304, 동작 308, 및 동작 310을 포함하는, 도 3a의 프로세스 (300) 와 동일한 동작들을 포함한다. 동작 362는 상기 언급된 바와 같이 반응 챔버로부터 웨이퍼들의 제거를 제공한다; 동작 356의 전체 인터벌 컨디셔닝 반응 챔버 퍼지 동안, 웨이퍼들은 반응 챔버 내에 위치되지 않는다. 다시, 인터벌 컨디셔닝 반응 챔버 퍼지 전 및 동안 이 제거는 인터벌 컨디셔닝 퍼지 동작으로부터 원치 않은 웨이퍼 오염을 방지하기 때문에 유리하다. 수정된 동작 356은 인터벌 컨디셔닝 반응 챔버 퍼지 동안 웨이퍼들이 반응 챔버 내에 있지 않다는 것을 명시한다.
상기 제공된 바와 같이, 인터벌 컨디셔닝 반응 챔버 퍼지의 개시 및 수행은 특정한 문턱 값에 도달하는 챔버 축적, 웨이퍼 카운트, 및/또는 증착 사이클 카운트에 기초할 수도 있다. 동작 356은 인터벌 컨디셔닝 반응 챔버 퍼지가 챔버 축적, 웨이퍼 카운트, 및/또는 증착 사이클 카운트에 기초하여 수행된다는 것을 명시함으로써 이 연결을 반영한다. 예를 들어, 인터벌 컨디셔닝 반응 챔버 퍼지는 특정한 총 수의 증착 사이클들, 즉, 사이클 카운트가 반응 챔버 내에서 수행될 때, 그리고/또는 챔버 축적량이 축적 한계보다 작은 특정한 양에 도달할 때, 특정한 수의 웨이퍼들, 즉, 웨이퍼 카운트가 배치에서 프로세싱되면 수행될 수도 있다. 이들 문턱 값들은 축적 한계를 발생시키는 웨이퍼 카운트 및 사이클 카운트 미만과 같이, 챔버 세정을 발생시키는 축적 한계에 도달하게 하는 수보다 작다.
일부 실시 예들에서, 챔버 축적, 웨이퍼 카운트, 및/또는 증착 사이클 카운트가 특정한 문턱 값에 도달했는지 여부에 대한 결정이 이루어지고, 인터벌 컨디셔닝 반응 챔버 퍼지는 이 결정에 기초하여 수행될 수도 있다. 예를 들어, 챔버 축적, 웨이퍼 카운트, 및/또는 증착 사이클 카운트가 특정한 문턱 값에 도달했는지 여부의 결정이 이루어질 수도 있고, 특정한 문턱 값에 도달했다고 결정되면, 인터벌 컨디셔닝 반응 챔버 퍼지가 수행될 수도 있다. 또 다른 예에서, 웨이퍼들의 배치의 제 1 부분은 N 개의 웨이퍼들을 가질 수도 있고, 그리고 웨이퍼들의 배치는 Y 개의 웨이퍼들을 갖고, Y는 N보다 크다. 반응 챔버에서 프로세싱된 웨이퍼들의 수가 N 개의 웨이퍼들의 웨이퍼 카운트에 도달하면, 웨이퍼 카운트 문턱 값이 도달된 것으로 간주될 수도 있고, 이는 인터벌 컨디셔닝 반응 챔버 퍼지의 수행을 트리거한다. 웨이퍼 카운트가 Y에 도달할 때 축적 기준선 (예를 들어, 세정 동작이 수행될 때) 에 도달된다. 결정 단계는 도 3b의 프로세스 (350) 에서 선택 가능한 동작 364일 수도 있다.
도 3b의 동작 356에는 포함되지 않지만, 인터벌 컨디셔닝 반응 챔버 퍼지의 수행은 챔버 축적, 웨이퍼 카운트, 및/또는 증착 사이클 카운트가 특정한 문턱 값 또는 문턱 값들에 도달했다는 결정에 기초할 수도 있다. 예를 들어, 인터벌 컨디셔닝 반응 챔버 퍼지는 반응 챔버 내 증착된 재료의 총 축적이 축적 문턱 값에 도달했다는 결정에 응답하여 수행될 수도 있고; 부가적으로, 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 것은 웨이퍼들의 배치의 제 1 부분 동안 프로세싱된 웨이퍼들의 카운트가 웨이퍼 카운트 문턱 값 (예를 들어, 상기 예에서 웨이퍼 카운트 N) 에 도달했다는 결정에 응답하여 수행될 수도 있다. 일부 예들에서, 챔버 축적의 결정은 반응 챔버에서 수행된 증착 각각의 증착 레이트에 적어도 부분적으로 기초할 수도 있다. 상이한 증착 프로세스들은 상이한 증착 레이트들을 가질 수도 있고, 이는 결국 웨이퍼들의 배치 프로세싱 내내 축적량 및 축적 구축 레이트를 변화시킬 수도 있다.
일부 실시 예들에서, 2 이상의 인터벌 컨디셔닝 반응 챔버 퍼지는 웨이퍼들의 배치의 프로세싱 동안 수행될 수도 있다. 예를 들어, 제 1 인터벌 컨디셔닝 반응 챔버 퍼지는 웨이퍼들의 제 1 부분이 배치에서 프로세싱된 후, 이어서 웨이퍼들의 제 2 부분의 프로세싱, 이어서 제 2 인터벌 컨디셔닝 반응 챔버 퍼지, 그리고 마지막으로 축적 기준, 또는 한계에 도달하고 챔버 세정 동작이 수행된 후 웨이퍼들의 제 3 부분의 프로세싱이 후속하여 수행될 수도 있다. 챔버 세정 동작을 수행할 필요성을 더 지연시킬 수도 있기 때문에, 웨이퍼들의 단일 배치 동안 복수의 인터벌 컨디셔닝 반응 챔버 퍼지들을 수행하는 것이 유리할 수도 있다. 일부 실시 예들에서, 복수의 인터벌 컨디셔닝 반응 챔버 퍼지들은 동일한 방식들로, 예를 들어, 동일한 플로우 레이트들에서 동일한 지속 기간 동안 수행되는 한편, 다른 실시 예들에서, 증착 파라미터들에 따라, 상이한 조건들 하에서 인터벌 컨디셔닝 반응 챔버 퍼지들을 수행하는 것이 유리할 수도 있다. 예를 들어, 챔버 축적량은 기판들의 배치를 프로세싱하는 동안 증가하고, 가스 전달 시스템에서 보다 많은 챔버 축적 및/또는 원치 않은 증착을 제거하기 위해 나중에 수행되는 인터벌 컨디셔닝 반응 챔버 퍼지 또는 퍼지들이 이전의 퍼지보다 긴 지속 기간 및/또는 보다 높은 플로우 레이트 동안 수행된다면 보다 유리할 수도 있다.
인터벌 컨디셔닝 반응 챔버 퍼지는 특정한 시간 동안, 특정한 플로우 레이트 또는 플로우 레이트들에서, 및/또는 특정한 압력 또는 압력들에서 유리한 다양한 파라미터들로 수행될 수도 있다. 도 5는 사용될 수도 있는 인터벌 컨디셔닝 반응 챔버 퍼지 조건들의 간단한 표를 도시한다. 샤워헤드 및 챔버 가스 조성은 보통 불활성 가스이지만, 일부 실시 예들에서, 가스는 예를 들어, Ar, H2, He, O2, N2의 임의의 조합으로 구성될 수 있고, 이들로 제한되지 않는다. 플로우 레이트들은 통상적으로 1 SLM보다 크지만, 일부 실시 예들에서 플로우 레이트들은 내부/업스트림 컴포넌트들을 독립적으로 퍼지하는 것을 최대화하도록 구성될 수도 있다. 쓰루풋 최적화가 요구되는 특정한 경우들에 대한 솔루션을 맞추기 (tailor) 위해 사용될 수 있는 광범위한 조건들이 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지는 일부 원치 않은 축적의 제거를 발생시키는 시간의 양 동안 수행될 수도 있고, 이는 결국 다른 프리-프로세싱 (pre-processing), 프로세싱, 및 포스트-프로세싱 (post-processing) 동안 다른 가스들이 흐르는 시간보다 길다. 예를 들어, 일부 예들에서, 적어도 1 분, 예컨대 적어도 1 분 30 초, 5 분, 10 분 및 30 분 동안 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 것은 인터벌 컨디셔닝 반응 챔버 퍼지가 목표된 양의 원치 않은 챔버 축적을 제거할 수 있는 시간의 양이다. 인터벌 컨디셔닝 반응 챔버 퍼지가 충분히 긴 시간 동안 수행되지 않는다면, 목표된 양의 챔버 축적은 제거되지 않을 것이다. 이들 인터벌 컨디셔닝 반응 챔버 퍼지들의 타이밍은 일반적으로 0.5 초 내지 약 1.5 초 범위일 수 있는 ALD 사이클 동안 수행된 퍼지 동작들과 같은 다른 퍼지 동작들보다 길 수도 있다; 이들 짧은 지속 기간 플로우들 (예를 들어, 적어도 1 분 동안 지속되는 인터벌 컨디셔닝 반응 챔버 퍼지보다 120 배 짧음) 은 목표된 양의 챔버 축적을 제거하지 않을 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지는 일부 원치 않은 축적의 제거를 발생시키는 다양한 플로우 레이트들 및/또는 압력들로 수행될 수도 있다. 일부 이러한 실시 예들에서, 인터벌 컨디셔닝 반응 챔버의 플로우 레이트들은 예를 들어, 적어도 2.5 slm, 3 slm, 5 slm, 10 slm, 15 slm, 30 slm, 45 slm, 및 60 slm일 수도 있다; 압력들은 2 내지 2.2 Torr를 포함하여, 약 0.05 Torr 내지 6 Torr 일 수도 있다. 일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지의 플로우 레이트 및/또는 압력은 인터벌 컨디셔닝 반응 챔버 퍼지의 전체 동안 일정하게 유지될 수도 있다. 일부 다른 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지의 플로우 레이트 및/또는 압력은 인터벌 컨디셔닝 반응 챔버 퍼지 동안 적어도 2 개의 상이한 플로우 레이트들 및/또는 2 개의 상이한 압력들을 갖도록 가변할 수도 있다. 일부 이러한 실시 예들에서, 다양한 플로우 레이트들 및/또는 압력들은 제 1 플로우 레이트에 이어 제 1 플로우 레이트보다 낮은 제 2 플로우 레이트, 이어서 제 1 플로우 레이트 및 이어서 제 2 플로우 레이트와 같이 반복되거나 순환될 수도 있다. 일부 이러한 예들에서, 제 1 플로우 레이트는 적어도 10 slm과 같은 고 플로우 레이트일 수도 있고 제 2 플로우 레이트는 5 slm 미만일 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지의 플로우 레이트들 및/또는 압력들은 일부 실시 예들에서, 다른 퍼지 동작들의 플로우 레이트들 및 압력들과 동일할 수도 있다. 일부 다른 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지의 플로우 레이트들 및/또는 압력들은 ALD 사이클 동안 수행된 것과 같은, 예를 들어, 약 1 slm 내지 3 slm의 범위일 수 있는 다른 퍼지 동작들의 플로우 레이트들보다 높을 수도 있다.
일부 실시 예들에서, 인터벌 컨디셔닝 반응 챔버 퍼지의 결합된 플로우 레이트들 및 시간 지속 기간은 다른 퍼지 동작들과 상이한 인터벌 컨디셔닝 반응 챔버 퍼지를 발생시킨다. 예를 들어, 인터벌 컨디셔닝 반응 챔버 퍼지의 플로우 레이트는 ALD 사이클 동안 수행된 퍼지와 동일할 수도 있지만, 인터벌 컨디셔닝 반응 챔버 퍼지 및 ALD 사이클 퍼지의 지속 기간은 상이하다.
이에 따라, 동작 306에서 미드-배치 (인터벌) 컨디셔닝 퍼지의 구현 예는 필요한 세정 사이클들 사이에 반응 챔버 내에서 프로세싱될 수 있는 웨이퍼들의 총 수를 증가시킬 수도 있고, 따라서 프로세싱될 웨이퍼들의 배치 사이즈를 효과적으로 증가시킬 수도 있고, 프로세싱이 계속될 수 있기 전에 챔버가 세정되어야 하기 전에 용인될 수 있는 최대 레벨의 축적/웨이퍼 카운트를 연장한다. 이에 따라, 요구된 건식 세정 사이클들 사이에 반응 챔버의 유용성 또는 수명을 연장시킴으로써 미리 결정된 반응 챔버에서 프로세싱된 웨이퍼들의 총 동작 스루풋이 제공된다.
일부 실시 예들에서, 플라즈마는 인터벌 컨디셔닝 반응 챔버 퍼지의 적어도 일부 동안 반응 챔버 내에서 생성될 수도 있다. 상기와 유사하게, 웨이퍼들은 이 플라즈마 생성 동안 반응 챔버 내에 위치되지 않는다. 플라즈마는 850 W 내지 4,500 W를 포함하여 400 W 내지 5,000 W와 같은 RF 전력을 반응 챔버에 인가함으로써 생성될 수도 있다. RF 전력을 인가하면서 인터벌 컨디셔닝 반응 챔버 퍼지 동안 예를 들어, 아르곤, 분자 산소, 아산화 질소 (N2O), 또는 이들의 조합, 예컨대 분자 산소와 아르곤, 또는 분자 산소와 N2O를 포함할 수도 있는 가스가 흐를 수도 있다. 일부 예들에서, RF 전력은 모든 인터벌 컨디셔닝 반응 챔버 퍼지 동안 인가될 수도 있지만, 일부 다른 예들에서, RF 전력은 인터벌 컨디셔닝 반응 챔버 퍼지의 일부 동안에만 인가될 수도 있다. 반응 챔버에서 RF 전력 인가 및 플라즈마 생성은, 일부 예들에서, 플라즈마의 전기장으로 하여금 인터벌 컨디셔닝 반응 챔버 퍼지 동안 결함들 및 오염물들을 제거하기 위해 유리하게 유인하게 할 수도 있다.
인가된 RF 전력을 램핑 다운하거나 (ramping down) 감소시키는 것을 포함할 수도 있는 인터벌 컨디셔닝 반응 챔버 퍼지 동안 RF 전력 애플리케이션을 조정하는 것이 유리할 수도 있다. 이는 복수의 감소들 및 조정들을 포함할 수도 있다. 또한, 반응 챔버에 인가된 RF 전력의 램프 다운, 또는 감소와 함께 반응 챔버의 압력을 램프 다운하거나 감소시키는 것이 유리할 수도 있다. 본 개시는 임의의 특정한 동작 이론에 의해 제한되지 않지만, 인가된 RF 전력 및 반응 챔버 압력 모두를 램핑 다운시키는 것은 초기 고 RF 전력이 결함들 및 원치 않은 재료들을 유인하는데 유용하다는 것이 이해되기 때문에 유리할 수 있고, 압력을 램핑 다운하는 것은 챔버 내의 가스들 및 종들의 체류를 감소시킴으로써 챔버로부터 이들 결함들을 제거하는 것을 보조한다는 것이 이해된다. 압력이 감소함에 따라 RF 전력을 램핑 다운하는 것은 또한 반응 챔버 내의 결함들 및 오염물들이 반응 챔버로부터 제거되고 나가게 하는 전기장의 인력을 감소시키는 것으로 이해된다. RF 전력 램프 다운은 4,500 W 내지 3,000 W와 같은 단계적 조정일 수도 있는 한편, 압력 감소는 일정한 감소 또는 단계적 조정일 수도 있다. 반응 챔버 압력은 예를 들어, 적어도 5 Torr에서 최대 0.5 Torr로, 또는 4.5 Torr에서 1 Torr로 감소할 수도 있다.
또 다른 예에서, 압력을 감소시키는 동안 일정한, 고 RF 전력을 유지하는 것은 인가된 RF 전력 및 반응 챔버 내의 압력 모두를 감소시키는 것과 비교하여 반응 챔버 내의 많은 결함들을 감소시키지 않는다는 것을 알게 되었다. 일부 이러한 예들에서, 전력 및 압력 모두를 램핑 업하는 것은 또한 결함들에 대한 큰 전기장 인력 및 챔버 내 보다 긴 가스들/종들 체류 시간 때문에 덜 유리할 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지 동안 RF 전력이 인가되는 시간, RF 전력, 및 RF 전력 인가 동안 가스 플로우와 같은 다른 파라미터들을 조정하는 것이 또한 유리할 수도 있다.
도 3c는 반도체 웨이퍼들의 배치의 프로세싱 동안 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하기 위한 또 다른 샘플 실시 예 프로세스 플로우 (370) 를 도시한다. 프로세스 (370) 는 이 프로세스 (370) 가 또한 상기 기술된, 도 3b의 수정된 동작 356, 이제 도 3c의 동작 376, 및 도 3b의 동작 362, 및 이제 도 3c의 동작 382를 포함하는 것을 제외하고, 각각 프로세스 (370) 의 동작 372, 동작 374, 동작 378, 및 동작 380인 동작 302, 동작 304, 동작 308, 및 동작 310을 포함하는, 도 3a의 프로세스 (300) 와 동일한 동작들을 포함한다. 프로세스 (370) 는 반응 챔버 내에서 플라즈마를 생성하기 위해 본 명세서에 논의된 인터벌 컨디셔닝 반응 챔버 퍼지의 적어도 일부 동안 반응 챔버로의 RF 전력의 인가를 나타내는 새로운 동작 388, 및 인터벌 컨디셔닝 반응 챔버 퍼지 동안 반응 챔버 압력 및 인가된 RF 전력을 램핑 다운하는 것을 포함하는 새로운 선택 가능한 동작 390을 포함한다. 상기 주지된 바와 같이, RF 전력은 인터벌 컨디셔닝 반응 챔버 퍼지의 일부 또는 전부 동안 인가될 수도 있다. RF 전력은 일정하게 유지될 수도 있고 또는 일부 실시 예들에서, 선택 가능한 동작 390에 의해 나타낸 바와 같이 램핑 다운과 같이, 인터벌 컨디셔닝 반응 챔버 퍼지 동안 조정될 수도 있다. 여기서 이 선택가능한 동작 390에서, RF 전력 및 압력은 인터벌 컨디셔닝 반응 챔버 퍼지 동안 및 RF 전력의 인가 동안 모두 감소된다. RF 전력은 4,500 W와 같은 고전력에서 시작될 수도 있고, 이어서 예를 들어, 압력이 일정한 레이트, 예컨대 4.5 Torr 내지 1 Torr로 동시에 감소되는 동안, 800 W의 전력에서 정지하는 것과 같은 보다 낮은 전력들에 대한 단계적 조정들에서 감소될 수도 있다.
상기 제공된 바와 같이, 인터벌 컨디셔닝 반응 챔버 퍼지 동안 반응 챔버를 퍼지하는 것에 더하여, 인터벌 컨디셔닝 반응 챔버 퍼지는 또한 반응 챔버, 예컨대 반응 챔버 내부에 위치된 샤워헤드에 유체로 연결된 가스 전달 시스템의 다양한 엘리먼트들 및 가스 라인들, 플로우 엘리먼트들, 밸브들, 엘보우들 및 매니폴드들과 같은, 샤워헤드에 유체로 연결된 다양한 플로우 엘리먼트들을 퍼지할 수도 있다. 다시 도 2를 참조하면, 인터벌 컨디셔닝 반응 챔버 퍼지는 가스 전달 시스템 (220) 의 하나 이상의 컴포넌트들, 예컨대 칼라 (210), CT (222), 매니폴드 (224), RPC 모듈 (228), 및 이들 컴포넌트들 각각에 유체적으로 연결된 엘리먼트들, 예컨대 유체 라인들 (226A, 226B, 226C, 230A 및 230B) 을 통해 흐르는 것을 포함할 수도 있다. 일부 실시 예들에서, 매니폴드 (224) 는 이를 통해 흐르는 가스로 하여금 제 1 플로우 방향으로 반응 챔버 (200) 로 (예를 들어, CT (222) 및 샤워헤드 (208) 를 통해) 흐르게 하고, 제 2 방향이 플로우 도관 (226A) 을 통해 방향 전환하게 하는 능력을 가질 수도 있다. 인터벌 컨디셔닝 반응 챔버 퍼지는 매니폴드 (224) 내로 퍼지 가스를 흘리고 매니폴드 (224) 로 하여금 양방향들 및 매니폴드 (224) 의 엘리먼트들을 퍼지하기 위해 제 1 플로우 방향과 제 2 플로우 방향 사이에서 퍼지 가스의 플로우를 교번하게 하는 것을 포함할 수도 있다. 유사하게, 인터벌 컨디셔닝 반응 챔버 퍼지는 RPC 모듈 (228) 및 CT (222) 의 모든 내부 플로우 경로들과 같은, 반응 챔버 (200) 에 유체로 연결된 엘리먼트들의 모든 내부 플로우 경로들을 통해 퍼지 가스를 흘리는 것을 포함할 수도 있다.
도 4는 개시된 실시 예들에 따른 방법들 및 장치에 대한 결함 생성의 감소를 예시하는 데이터 플롯이다. 이 도면은 본 명세서에 기술된 바와 같이, 인터벌 컨디셔닝 챔버 퍼지 (도면에서 "IC Prg"로 라벨링됨, 이는 또한 본 명세서에서 인터벌 조건부 퍼지로 지칭됨) 를 사용하거나 사용하지 않은 3 개의 상이한 결함 프로파일들에 대해 0.15, 1, 2, 2.5 및 3 ㎛의 증착된 재료의 총 축적 후 결함 생성을 플롯팅한다. 좌측 상단 플롯은 38 ㎚보다 큰 입자 크기들에서 모니터 웨이퍼들 당 관찰된 총 입자들을 보여준다. 중간 좌측 플롯은 80 ㎚ 미만의 입자 크기들에서 모니터 웨이퍼들 당 입자들의 서브 세트이다. 좌측 하단 플롯은 80 ㎚보다 큰 입자 크기들에서 모니터 웨이퍼들 당 입자들의 서브 세트이다. IC 퍼지가 없는 플롯들의 모니터 웨이퍼들은 전체적으로 보다 높은 성능을 갖고 80 ㎚ 미만의 입자들에 대해 2.5 ㎛보다 큰 축적에서 OOC임을 나타낸다. 제어 불능 이벤트들은 인터벌 컨디셔닝이 없는 경우에 80 ㎚보다 큰 입자들에 대해 1.0 및 2.0 ㎛에서 관찰된다. 우측 플롯들은 본 명세서에 기술된 바와 같은 인터벌 컨디셔닝 퍼지가 시나리오 각각에서 수행될 때 결함 생성의 실질적인 증가가 관찰되지 않았다는 것을 보여준다.
도 9a 내지 도 9c는 개시된 실시 예들에 따른 방법들 및 장치에 대한 결함 생성의 감소를 예시하는 부가적인 데이터 플롯들이다. 이 도면은 도 9a의 제 1 결함 프로파일, 도 9b의 제 2 결함 프로파일, 및 도 9c의 제 3 결함 프로파일을 사용하여, 3 개의 상이한 결함 프로파일들에 대해 0.15, 0.96, 1.32, 및 1.74 ㎛의 증착된 재료의 총 축적 후 결함 생성을 플롯팅한다. 결함 프로파일 각각은 3 개의 인터벌 컨디셔닝 반응 챔버 퍼지들에 대한 데이터를 도시하고, 첫번째 "BSLN"은 제 1 세트의 파라미터들에 따른 인터벌 컨디셔닝 반응 챔버 퍼지에 대한 데이터 (도면들에서 "BSLN"로 라벨링됨) 를 도시하고, 두번째 "CIP 가스 퍼지"는 제 2 세트의 파라미터들 하에서 인터벌 컨디셔닝 반응 챔버 퍼지에 대한 데이터 (도면들에서 "CIP 가스 퍼지"로 라벨링됨) 를 도시하고, 세번째 "CIP RF/가스 퍼지"는 상기 기술된 바와 같이 감소하는 RF 전력 및 압력 및 RF 전력을 반응 챔버에 인가하면서 도 9b의 제 2 세트의 파라미터 하에서 동일한 인터벌 컨디셔닝 반응 챔버 퍼지에 대한 데이터 (도면들에서 "CIP RF/가스 퍼지"로 라벨링됨) 를 도시한다. 도 9a의 플롯은 38 ㎚보다 큰 입자 크기들에서 모니터 웨이퍼들 당 관찰된 총 입자들을 도시하고, 도 9b는 80 ㎚보다 큰 입자 사이즈들의 모니터 웨이퍼들 당 입자들의 서브 세트를 도시하고, 도 9c는 80 ㎚ 미만의 입자 크기들의 모니터 웨이퍼들 당 입자들의 서브 세트이다. 상이한 파라미터들 때문에 상이한 결과들을 갖는 BSLN 및 CIP 가스 퍼지들에 의해 예시된 바와 같이, 인터벌 컨디셔닝 반응 챔버 퍼지의 파라미터들이 인터벌 컨디셔닝 반응 챔버 퍼지에 의해 제거된 결함들에 영향을 준다는 것이 도시된다. 상기 주지된 바와 같이, 이들 파라미터들은 플로우 레이트들, 퍼지의 지속 기간, 및 퍼지될 수도 있는 가스 전달 시스템의 컴포넌트들일 수도 있다. 도 9a 내지 도 9c의 예들에서 또한 알 수 있는 바와 같이, RF 전력이 인가된 인터벌 컨디셔닝 챔버 퍼지는 RF 전력이 인가되지 않은 인터벌 컨디셔닝 챔버 퍼지와 비교하여 결함들을 감소시킨다.
일부 실시 예들에서, 인터벌 퍼지는 웨이퍼 상의 단일 증착만큼 빈번하게 인터벌들로 트리거될 수 있다. 보다 빈번하게, 다른 실시 예들에서, 인터벌 퍼지는 증착 파라미터들, 특히 챔버 표면 상의 증착 두께/예상된 타겟을 벗어난 증착 증가에 기초하여 챔버 표면들 상의 예상된 축적에 따라, OOC 이벤트 전에 복수의 웨이퍼들 상의 복수의 증착들까지의 인터벌들로 트리거될 수 있다. 예를 들어, 웨이퍼 또는 웨이퍼들의 그룹 각각 후 챔버 표면 상의 예상된 증착 증가가 프로세스 B에서보다 프로세스 A에서 실질적으로 적다면, 프로세스 B에서보다 프로세스 A에서 인터벌 퍼지가 요구되기 전에 상대적으로 보다 많은 웨이퍼들이 프로세싱될 수 있다. 두 프로세스들에서 배치 사이즈-요구되는 챔버 세정 전에 프로세싱된 웨이퍼들의 수-는 반응 챔버 및/또는 반응 챔버에 유체적으로 연결된 가스 전달 시스템의 컴포넌트들의 인터벌 (미드-배치) 컨디셔닝 퍼지에 의해 증가될 수 있다.
도 6을 참조하면, ALD에 의해 기판 상에 증착되는 박막의 개략적인 예시가 도시된다. 다양한 실시 예들에서, 실리콘-함유 막, 예를 들어, 실리콘 옥사이드 (예를 들어, SiO2), 실리콘 옥시나이트라이드 또는 실리콘 나이트라이드가 증착된다. ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. ALD는 임의의 적합한 기법을 사용하여 수행될 수도 있다. 다양한 실시 예들에서, ALD는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있고, 그리고 사이클들로 수행될 수도 있다.
"ALD 사이클"의 개념은 본 명세서의 다양한 실시 예들의 논의와 관련된다. 상기 주지된 바와 같이, 인터벌 컨디셔닝 반응 챔버 퍼지는 ALD 증착 동안 수행된 가스 플로우들과 상이하게 수행된다. 일반적으로 ALD 사이클은 표면 증착 반응을 1 회 수행하기 위해 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에 적어도 부분적인 실리콘-함유 막 층의 생성이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응 물질을 전달하고 흡착하는 동작들, 및 이어서 부분적인 막 층을 형성하도록 흡착된 반응 물질을 하나 이상의 반응 물질과 반응시키는 동작을 포함한다. 사이클은 반응 물질들 또는 부산물들 중 하나를 스윕핑하고 그리고/또는 증착될 때 부분적인 막을 처리하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALD 사이클은: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘-함유 전구체의 퍼지, (iii) 제 2 반응 물질 (예를 들어, 산화제) 및 플라즈마의 전달, 및 (iv) 챔버로부터 플라즈마의 퍼지 동작들을 포함할 수도 있다.
이 개시에 따라, 인터벌 컨디셔닝 (미드-배치) 컨디셔닝 반응 챔버 퍼지는 배치 사이즈들을 증가시키기 위해 상이한 웨이퍼들의 증착들 사이에 적절한 인터벌들에서 사용될 수도 있다. 다양한 실시 예들에 따라, 증착/미드-배치 컨디셔닝 퍼지 사이클들은 최대 축적 한계에 도달할 때까지 배치 전반에 걸쳐 반복될 수도 있다.
도 6은 실리콘 옥사이드 (SiO2) 를 증착하기 위한 ALD 사이클의 예시적인 개략도를 도시한다. 다이어그램 182a 내지 다이어그램 182e는 일반적인 ALD 사이클을 도시한다. 182a에서, 많은 실리콘 원자들을 포함하는 실리콘 기판이 제공된다. 182b에서, 실리콘-함유 전구체 또는 실리콘 소스가 기판에 도입되고, 일부 실리콘 원자들이 기판 상에 흡착된다. 182c에서, 흡착되지 않은 실리콘-함유 전구체 또는 실리콘 소스가 챔버로부터 퍼지된다. 182d에서, 산소는 산소 라디칼들로서 도입되고 흡착된 실리콘은 SiO2 막을 형성하도록 기판의 표면 상의 산소 라디칼들과 반응한다. 182e에서, 챔버는 퍼지되고, SiO2의 증착된 층을 남기면서, 부산물들이 제거된다.
일부 실시 예들에서, ALD에 의해 증착된 막들은 매우 컨포멀할 (conformal) 수도 있다. 막들의 컨포멀성은 단차 커버리지 (step coverage) 에 의해 측정될 수도 있다. 단차 커버리지는 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께를 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께와 비교함으로써 계산될 수도 있다. 예를 들어, 단차 커버리지는 측벽 상에 증착된 막의 평균 두께를 피처의 상단부에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 계산될 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer) 기준으로 막들을 증착하도록 표면-매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는, 기판 표면은 기판을 하우징하는 프로세스 챔버에 제공된 도즈로 실리콘-함유 전구체와 같은 제 1 전구체의 가스상 분포에 노출된다. 이 제 1 전구체의 분자들은 제 1 전구체의 화학흡착된 종 및/또는 물리흡착된 분자들을 포함하여, 기판 표면 상으로 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 특정한 실시 예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시 예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이 지점에서 턴오프되거나 방향 전환되고, 퍼지 가스만이 흐른다. 이 아포화 레짐 (sub-saturation regime) 에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 아포화 레짐에서 동작하는 ALD 프로세스들의 예들은 2013 년 10 월 23 일 출원된 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인, 미국 특허 출원 번호 제 14/061,587 호에 제공되고, 이는 본 명세서에 전체가 참조로서 인용된다. 제 1 전구체 도즈 후, 반응기는 흡착된 종만이 남도록 가스상으로 남아 있는 임의의 제 1 전구체를 제거하도록 배기된다. 제 2 반응 물질, 예컨대 산소 또는 질소-함유 가스는 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 반응기로 도입된다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시 예들에서, 제 2 전구체는 활성화 소스가 일시적으로 인가된 후에만 반응한다. 이어서 반응기는 결합되지 않은 제 2 전구체 분자들을 제거하기 위해 다시 배기될 수도 있다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 사용될 수도 있다.
일부 구현 예들에서, ALD 방법들은 제 2 반응 물질이 챔버로 전달될 때와 같이 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 2011 년 4 월 11일 출원되고, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 번호 제 8,728,956 호) 및 2011 년 4 월 11일 출원되고, 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 번호 제 13/084,305 호에 포괄적으로 기술된, 컨포멀한 막 증착 (conformal film deposition; CFD) 방법들일 수도 있고, 이는 전체가 참조로서 본 명세서에 인용된다. ALD 프로세스들의 부가적인 예들은 Puurunen, "Surface chemistry of atomic layer deposition : for the trimethylaluminum/water process", 97 J. Applied Physics 12301 (2005) 에 기술되고, 이는 적합한 ALD 프로세스에 대한 기술 (description) 을 제공할 목적으로 본 명세서에 참조로서 인용된다.
일부 실시 예들에서, 캐리어 가스, 예컨대 N2, Ar, Ne, He, 및 이들의 조합들이 연속적으로 흐를 수도 있다. 캐리어 가스는 퍼지 가스로서 사용될 수도 있다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응 물질의 증발, 반응 물질의 보다 신속한 전달을 보조하도록 그리고/또는 프로세스 챔버 및/또는 프로세스 챔버 배관으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다. 이들 가스 플로우들은 인터벌 컨디셔닝 반응 챔버 퍼지와 상이하다.
흡착 및 제 2 반응 물질 전달 동작의 예가 수행될 수도 있다. ALD 사이클의 흡착 동작에서, 기판은 기판 표면 상에 흡착하도록 막 전구체, 예컨대 실리콘 테트라클로라이드 (SiCl4) 또는 아미노실란에 노출될 수도 있다. 일부 실시 예들에서, 막 전구체는 실리콘-함유 전구체일 수도 있다. 일부 실시 예들에서, 막 전구체, 예컨대 SiCl4는 기판 표면의 약 60 % 상에 흡착될 수도 있다. 다양한 실시 예들에서, 막 전구체가 챔버로 흐를 때, 막 전구체는 기판의 표면 상의 활성 사이트들 상에 흡착하여, 표면 상에 막 전구체의 박층을 형성한다. 다양한 실시 예들에서, 이 층은 단일 층 (monolayer) 보다 작을 수도 있다.
흡착 후, 챔버는 기판의 표면 상에 흡착되지 않은 가스상의 과잉 전구체를 제거하도록 선택 가능하게 퍼지될 수도 있다. 퍼지는 다른 동작들에 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 일부 실시 예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다.
ALD 사이클의 제 2 반응 물질 전달 동작에서, 기판은 제 2 반응 물질 및 선택 가능하게, 플라즈마에 노출될 수도 있다. 다양한 실시 예들에서, 제 2 반응 물질은 산소 (O2) 또는 질소 (N2) 또는 이들의 조합들이다. 실리콘 옥사이드 층이 증착되는 일부 실시 예들에서, 산소가 제 2 반응 물질로서 사용된다. 일부 실시 예들에서, 제 2 반응 물질 플로우 및 플라즈마는 모두 턴온된다. 일부 실시 예들에서, 제 2 반응 물질 플로우는 예를 들어, 제 2 반응 물질 플로우가 안정화되게 하기 위해 플라즈마를 턴온하기 전에 턴온될 수도 있다.
일부 실시 예들에서, 선택 가능한 플라즈마가 챔버 내에서 기판 표면 바로 위에 형성되도록 플라즈마는 인시츄 (in-situ) 플라즈마이다. 다양한 실시 예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마일 수도 있다. 유도 결합 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 일부 실시 예들에서, 약 0 V 내지 약 500 V의 바이어스가 인가될 수도 있다. 제 2 반응 물질의 전달 동안, SiCl4와 같은 막 전구체는 턴 오프된다. 기판은 플라즈마가 기판 표면 상에 흡착된 모든 전구체들과 상호 작용하는 시간을 초과하는 지속 기간 동안 제 2 반응 물질 및 선택 가능한 플라즈마에 노출될 수도 있어, 기판 표면 위에 연속적인 막을 형성한다.
제 2 반응 물질 전달 동작 후, 챔버는 예를 들어 캐리어 또는 불활성 가스를 도입함으로써 퍼지될 수도 있다. 이 동작을 위한 조건들은 퍼지 프로세스들에 대해 상기 기술된 조건들 중 임의의 것일 수도 있다.
다양한 실시 예들에서, ALD 사이클들이 반복될 수도 있다. 예를 들어, ALD를 위한 동작들은 약 5 내지 약 70 사이클 동안 수행될 수도 있다. 임의의 적합한 수의 증착 사이클들이 목표된 막 두께의 증착된 막을 증착하도록 포함될 수도 있다. 일부 실시 예들에서, ALD 사이클은 사이클 당 약 1 Å를 증착할 수도 있다. 동작들의 노출 시간에 따라, 사이클 각각은 약 0.05 Å 내지 약 5 Å의 두께를 갖는, 실리콘 옥사이드 또는 실리콘 옥시나이트라이드 막과 같은 막을 증착할 수도 있다. 일부 실시 예들에서, 분 당 약 2 내지 약 3 사이클의 ALD가 수행될 수도 있다. 일부 실시 예들에서, 기판에 보다 가깝게 위치된 유입구들을 갖는 챔버들에서와 같이, 분 당 약 3 이상의 사이클들이 수행될 수도 있다.
장치
도 7은 예를 들어 Striker ALD 툴과 같은, Lam Research Corporation으로부터 입수 가능한 것과 같은 프로세스 챔버 (702) 를 갖는 ALD (atomic layer deposition) 프로세스 스테이션 (700) 의 실시 예의 개략적인 예시를 도시한다. 프로세스 스테이션 (700) 은 특정한 개시된 실시 예들을 수행하기 위해 사용될 수도 있다. 예를 들어, 프로세스 스테이션 (700) 이 기판 상의 ALD (atomic layer deposition) 에 의해 막들을 증착하도록 통상적으로 사용될 수도 있지만, 프로세스 스테이션 (700) 은 예를 들어, 패터닝 스킴에서 탄소-함유 재료를 각각 에칭하거나 세정하도록 ALE (atomic layer etch) 또는 ALC (atomic layer cleaning) 를 수행하기 위해 특정한 구성들로 사용될 수도 있다. 일부 실시 예들에서, 프로세스 스테이션 (700) 은 ALE, ALC 및 ALD를 위해 사용될 수도 있고, 또는 일부 실시 예들에서, 멀티-스테이션 툴의 몇몇 프로세스 스테이션들은 기판들이 진공을 파괴하지 않고 ALC 스테이션과 ALD 스테이션 사이 이송될 수도 있도록 ALE 또는 ALC를 위한 스테이션 및 ALD를 위한 스테이션을 포함할 수도 있다.
프로세스 챔버 (702) 는 저압 분위기를 유지하기 위해 사용될 수도 있다. 복수의 프로세스 스테이션들이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 8은 멀티-스테이션 프로세싱 툴 (800) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것을 포함하는, 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들 및/또는 프로세스 챔버 (702) 에 의해 구현된 프로세스가 하나 이상의 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (701a) 과 유체로 연통한다. 반응 물질 전달 시스템 (701a) 은 샤워헤드 (706) 로의 전달을 위해 산소-함유 가스, 또는 불활성 가스와 같은 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (704) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (720) 은 프로세스 가스들의 혼합 용기 (704) 로의 도입을 제어할 수도 있다.
예로서, 도 7의 실시 예는 혼합 용기 (704) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (703) 을 포함한다. 일부 실시 예들에서, 증착 화학 물질은 기화된 액체 반응 물질로서 제공될 수도 있다. 증착 화학 물질은 컨포멀한 막이 패터닝된 탄소-함유 재료 위에 ALD에 의해 증착될 수도 있도록 패터닝된 탄소-함유 재료를 형성하도록 프로세스 챔버 (702) 내에서 ALE 또는 ALC를 수행한 후 사용될 수도 있다. 일부 실시 예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기가 다운스트림 전달 파이프에서 응결될 수도 있다. 응결된 반응 물질로의 양립 가능한 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 방해하고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋을 열화시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (703) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (703) 의 다운스트림 파이프는 혼합 용기 (704) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 구현 예들에서, 액체 전구체 또는 액체 반응 물질은 액체 주입기 (도 7에 미도시) 에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기 (704) 의 업스트림의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 실시 예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (703) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (706) 에 바로 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (703) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세스 챔버 (702) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응 물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 (disable) 수행될 수도 있다.
샤워헤드 (706) 는 기판 (712) 을 향해 프로세스 가스들을 분배한다. 도 7에 도시된 실시 예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 척 또는 페데스탈 (708) 상에 놓인 것으로 도시된다. 샤워헤드 (706) 는 기판 (712) 을 향하여 샤워헤드 (706) 에 의해 제공된 또는 분산된 이온들의 방향성의 바람직한 레벨을 달성하도록 750 mil (0.35 in.) 내지 700 mils (0.7 in.) 의 거리에 위치될 수도 있다. 일부 실시 예들에서, 샤워헤드 (706) 와 페데스탈 (712) 사이의 보다 낮은, 또는 보다 작은 갭이 샤워헤드 (706) 로부터 분산된 이온들의 방향성을 유지하도록 채용될 수도 있다. 그러나, 저압 조건들 (예를 들어, 10 mT, 또는 0.01 Torr 이하) 에서 샤워헤드 (706) 로부터 이온화된 플라즈마의 안정한 분산을 달성하기 위해 보다 높거나 보다 큰, 갭이 필요할 수도 있다. 일부 실시 예들에서, 챔버는 복수의 척들 또는 페데스탈들을 포함할 수도 있다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 과 샤워헤드 (706) 사이의 볼륨에 기판 (712) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 페데스탈 (708) 은 다양한 개시된 실시 예들을 수행하기 위한 동작들 동안 약 25 ℃ 내지 약 650 ℃ 또는 약 35 ℃ 내지 약 100 ℃와 같은 임의의 적합한 온도로 설정될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (708) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 특정한 개시된 실시 예들에서 수행된 플라즈마 활성화 동안 가변되게 할 수도 있다. 예를 들어, 플라즈마는 코어 재료가 산소-함유 가스에 노출된 후 개질된 코어 재료를 제거하기 위해 불활성 가스가 샤워헤드 (706) 를 통해 기판 (712) 으로 흐를 때 점화될 수도 있다. 프로세스 페이즈의 종료시, 페데스탈 (708) 은 페데스탈 (708) 로부터 기판 (712) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
일부 구현 예들에서, 샤워헤드 (706) 의 위치는 기판 (712) 과 샤워헤드 (706) 사이의 볼륨을 가변하도록 페데스탈 (708) 에 대해 조정될 수도 있다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
다양한 실시 예들에서, 제어기 (750) 는 모니터링 웨이퍼들에 대한 입자 측정을 포함하는 프로세싱을 모니터링하고, 그리고 설정된 수의 웨이퍼들 후 고정된 축적 한계 후에 퍼지하기 위한 인스트럭션들을 실행하는 것을 포함하여, 증착 동작들과 함께 인터벌 컨디셔닝 (미드-배치) 퍼지를 구현하도록 프로그래밍될 수도 있다. 제어기 (750) 는 하나 이상의 메모리 디바이스들, 하나 이상의 대용량 저장 디바이스들, 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 컴퓨터 제어기 (750) 는 도 7의 제어기 (750) 또는 도 8의 제어기 (850) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 실시 예들에서, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 유사하게, RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (714) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 크거나, 27 ㎒보다 크거나, 40 ㎒보다 크거나, 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서, 전류 센서 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시 예들에서, OES 센서는 특정한 개시된 실시 예들을 사용하여 특정한 양의 시간 후에 에칭을 중단하도록 엔드 포인트를 설정하도록 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, IR (infrared) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (750) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응 물질 가스 (예를 들어, 산소-함유 가스) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 아르곤과 같은 제 2 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 플라즈마를 4 스테이션 프로세싱 툴에 대해 약 250 W 내지 약 750 W의 저 플라즈마 전력으로 점화하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이러한 레시피들은 약 90 ° ± 5 ° 지점에서 에칭될 하부 층의 표면과 만나는 수직 측벽들을 산출하도록 기판 상의 코어 재료와 같은 탄소-함유 재료를 에칭하도록 사용될 수도 있다. 부가적인 레시피들이 또한 이어질 수도 있고 ALD에 의해 패터닝된 코어 재료 위에 컨포멀한 막을 증착하도록 사용될 수도 있다. 예를 들어, 패터닝된 코어 재료 위에 실리콘 옥사이드 컨포멀한 막을 증착하기 위해, 하나의 부가적인 레시피 페이즈들은 실리콘-함유 전구체의 플로우 레이트를 설정하기 위한 인스트럭션들을 포함할 수도 있고, 또 다른 추가적인 레시피 페이즈는 산소-함유 반응 물질의 플로우 레이트를 설정하기 위한 인스트럭션들 및 부가적인 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (700) 에 대한 압력 제어가 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (도 7에 미도시) 에 의해 제공된 진공을 스로틀한다. 그러나, 일부 실시 예들에서, 프로세스 스테이션 (700) 의 압력 제어는 또한 프로세스 스테이션 (700) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는 멀티-스테이션 프로세싱 툴 (800) 의 실시 예의 개략도를 도시하고, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 모두는 리모트 플라즈마 소스 (도 8에 미도시) 를 포함할 수도 있다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼 (도 8에 미도시) 는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 인바운드 로드록 (802) 은 펌프 다운된다 (pump down). 인바운드 로드록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 인바운드 로드록 (802) 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시 예는 로드록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 ALC, ALD 프로세스 모드와 PEALD 프로세스 모드 사이에서 스위칭가능할 수도 있다. 일부 실시 예들에서, 증착 전구체에 대한 노출 및 제 2 반응 물질 및 플라즈마에 대한 노출은 동일한 스테이션에서 수행된다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (814) 는 ALD 및 PEALD 프로세스 스테이션들에서 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시 예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 는 프로세스 장치 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (800) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 가스들, 산소-함유 가스들, 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택가능하게 프로세스 스테이션 내 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자들에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 플로우 레이트 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 써모커플들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션은 본 명세서에 기술된 다양한 실시 예들에 따라 막 스택들의 인시츄 증착을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 담는 머신-판독가능 매체가 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (850) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (850) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에 이블하고, 엔드 포인트 측정들을 인에 이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치를 규정한다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에 이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기 (850) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
본 명세서에 기술된 제어기는 본 명세서에 기술된 임의의 그리고 모든 예시적인 프로세스들 및 기법들을 실행하기 위한 프로그램 인스트럭션들을 가질 수도 있다. 예를 들어, 장치들은 반응 챔버 내의 증착 웨이퍼들의 배치의 제 1 부분 상에서 증착을 수행하고, 본 명세서에 기술된 바와 같이 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하고, 그리고 인터벌 컨디셔닝 반응 챔버 퍼지 후에, 반응 챔버 내의 증착 웨이퍼들의 배치의 또 다른 부분 상에서 증착을 수행하기 위한 인스트럭션들을 가질 수도 있다. 이는 또한 도 3a 및 도 3b에서 상기 기술된 프로세스들의 모든 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALC (atomic layer clean) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적절한 장치는 2011 년 4 월 11 일 출원되고 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호); 및 2011 년 4 월 11 일 출원되고 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 제 13/084,305 호에 더 논의되고 기술되고, 이들 각각은 그 전체가 본 명세서에 인용된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 이 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.
다음의 샘플 청구항들은 본 개시의 특정한 실시 예들의 추가 예시를 위해 제공된다. 본 개시는 이들 실시 예들로 제한될 필요는 없다.

Claims (26)

  1. 프로세싱 장치에서 증착 기판들을 프로세싱하는 방법에 있어서,
    반응 챔버 내의 증착 웨이퍼들의 배치 (batch) 의 제 1 부분 상에서 증착을 수행하는 단계;
    축적 기준에 도달하기 전에 상기 웨이퍼 프로세싱에 의해 생성된 결함들을 상기 반응 챔버로부터 제거하도록 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계; 및
    상기 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 상기 반응 챔버 내의 상기 증착 웨이퍼들의 배치의 또 다른 부분 상에서 상기 증착을 수행하는 단계를 포함하는, 증착 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 반응 챔버 내의 상기 증착 웨이퍼들의 배치의 상기 제 1 부분 상에서 상기 증착을 수행한 후, 상기 웨이퍼들을 상기 반응 챔버로부터 제거하는 단계를 더 포함하고, 상기 인터벌 컨디셔닝 반응 챔버 퍼지 동안 상기 반응 챔버 내부에 웨이퍼들이 없는, 증착 기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 배치의 상기 제 1 부분 동안 증착된 재료의 총 축적에 기초하여 수행되는, 증착 기판 프로세싱 방법.
  4. 제 3 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 반응 챔버 내 상기 증착된 재료의 총 축적이 축적 문턱 값에 도달할 때 수행되고, 그리고
    상기 축적 문턱 값은 상기 축적 기준보다 작은, 증착 기판 프로세싱 방법.
  5. 제 4 항에 있어서,
    상기 반응 챔버 내의 상기 증착된 재료의 총 축적이 상기 축적 문턱 값에 도달했는지 여부를 결정하는 단계를 더 포함하고, 상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 반응 챔버 내 상기 증착된 재료의 총 축적이 상기 축적 문턱 값에 도달했다고 결정하는데 응답하여 수행되는, 증착 기판 프로세싱 방법.
  6. 제 3 항에 있어서,
    상기 반응 챔버 내 상기 증착된 재료의 총 축적은 상기 배치의 상기 제 1 부분에서 프로세싱된 웨이퍼들의 카운트 (count) 에 기초하는, 증착 기판 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 웨이퍼들의 배치의 상기 제 1 부분의 상기 반응 챔버 내에서 프로세싱된 웨이퍼들의 카운트에 기초하여 수행되는, 증착 기판 프로세싱 방법.
  8. 제 7 항에 있어서,
    상기 웨이퍼들의 배치의 상기 제 1 부분은 다수의 웨이퍼들을 포함하고,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 웨이퍼들의 배치의 상기 제 1 부분 동안 프로세싱된 상기 웨이퍼들의 상기 카운트가 상기 웨이퍼들의 수에 도달할 때 수행되고,
    상기 축적 기준은 제 2 카운트의 웨이퍼들이 상기 반응 챔버 내에서 프로세싱될 때 도달하고, 그리고
    상기 카운트는 상기 제 2 카운트보다 작은, 증착 기판 프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 웨이퍼들의 배치의 상기 제 1 부분 동안 프로세싱된 상기 웨이퍼들의 카운트가 상기 웨이퍼들의 수에 도달하였는지 여부를 결정하는 단계를 더 포함하고, 상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 웨이퍼들의 배치의 상기 제 1 부분 동안 상기 웨이퍼들의 카운트가 상기 웨이퍼들의 수에 도달했다고 결정하는 것에 응답하여 수행되는, 증착 기판 프로세싱 방법.
  10. 제 1 항에 있어서,
    상기 증착 웨이퍼들의 배치의 다른 부분의 증착을 수행한 후, 축적 기준에 도달하기 전에 상기 반응 챔버로부터 상기 웨이퍼 프로세싱에 의해 생성된 결함들을 제거하도록 제 2 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계; 및
    상기 제 2 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 상기 반응 챔버 내의 상기 증착 웨이퍼들의 배치의 제 3 부분 상에서 상기 증착을 수행하는 단계를 더 포함하는, 증착 기판 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 축적 기준은 상기 배치의 상기 제 1 부분의 기판들의 카운트에 기초하는, 증착 기판 프로세싱 방법.
  12. 제 1 항에 있어서,
    상기 축적 기준은 상기 배치의 상기 제 1 부분 동안 증착된 재료의 총 축적에 기초하는, 증착 기판 프로세싱 방법.
  13. 제 1 항에 있어서,
    상기 축적 기준에 도달한 후 상기 반응 챔버의 상기 내부 표면들을 세정하는 단계를 더 포함하는, 증착 기판 프로세싱 방법.
  14. 제 1 항에 있어서,
    상기 반응 챔버에서 상기 증착 기판들의 배치의 상기 제 1 부분의 상기 증착을 수행한 후, 상기 웨이퍼들을 상기 반응 챔버로부터 제거하는 단계를 더 포함하고, 상기 인터벌 컨디셔닝 반응 챔버 퍼지 동안 상기 반응 챔버 내부에 웨이퍼들이 없는, 증착 기판 프로세싱 방법.
  15. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 상기 반응 챔버에 유체적으로 연결된 가스 전달 시스템의 하나 이상의 컴포넌트들을 통해 퍼지 가스를 흘리는 단계를 포함하는, 증착 기판 프로세싱 방법.
  16. 제 15 항에 있어서,
    상기 가스 전달 시스템의 상기 컴포넌트들은 샤워헤드, 칼라 (collar), 가스 라인, 밸브들, 매니폴드, 세라믹 티 (ceramic tee), 및/또는 리모트 플라즈마 제어 모듈 중 하나 이상을 포함하는, 증착 기판 프로세싱 방법.
  17. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 2 이상의 플로우 레이트들로 상기 반응 챔버 내로 퍼지 가스를 흘리는 단계를 포함하는, 증착 기판 프로세싱 방법.
  18. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 일정한 플로우 레이트로 상기 반응 챔버 내로 퍼지 가스를 흘리는 단계를 포함하는, 증착 기판 프로세싱 방법.
  19. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하는 단계는 적어도 1 분 동안 상기 반응 챔버 내로 퍼지 가스를 흘리는 단계를 포함하는, 증착 기판 프로세싱 방법.
  20. 제 1 항에 있어서,
    상기 인터벌 컨디셔닝 반응 챔버 퍼지의 적어도 일부 동안, 상기 반응 챔버 내에 플라즈마를 생성하도록 상기 반응 챔버에 RF 전력을 인가하는 단계를 더 포함하는, 증착 기판 프로세싱 방법.
  21. 제 20 항에 있어서,
    상기 인가된 RF의 전력은 상기 반응 챔버로의 상기 RF 전력의 인가 동안 감소되는, 증착 기판 프로세싱 방법.
  22. 제 21 항에 있어서,
    상기 반응 챔버로의 상기 RF 전력의 상기 인가 동안 상기 반응 챔버의 압력이 감소되는, 증착 기판 프로세싱 방법.
  23. 기판을 프로세싱하기 위한 플라즈마 프로세싱 장치에 있어서,
    반응 챔버로서,
    내부 챔버 표면들,
    상기 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부, 및
    플라즈마 및/또는 프로세스 가스들을 상기 챔버로 제공하기 위한 샤워헤드 및 하나 이상의 유입구들, 및 상기 반응 챔버로부터 재료를 제거하기 위한 배기 포트를 포함하는, 상기 반응 챔버;
    상기 하나 이상의 유입구들에 유체로 연결된 가스 전달 시스템; 및
    제어기로서,
    반응 챔버 내의 증착 웨이퍼들의 배치의 제 1 부분 상에서 증착을 수행하는 단계,
    상기 가스 전달 시스템으로 하여금 축적 기준에 도달하기 전에 상기 반응 챔버로부터 상기 웨이퍼 프로세싱에 의해 생성된 결함들을 제거하도록 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하게 하는 단계; 및
    상기 인터벌 컨디셔닝 반응 챔버 퍼지에 이어서, 상기 반응 챔버 내의 상기 증착 웨이퍼들의 배치의 또 다른 부분 상에서 상기 증착을 수행하는 단계를 위한 인스트럭션들을 실행하도록 구성되는, 상기 제어기를 포함하는, 플라즈마 프로세싱 장치.
  24. 제 23 항에 있어서,
    상기 제어기는,
    상기 축적 기준에 도달한 후 상기 반응 챔버의 상기 내부 표면들의 세정을 유발하는 단계를 위한 인스트럭션들을 실행하도록 더 구성되는, 플라즈마 프로세싱 장치.
  25. 제 23 항에 있어서,
    상기 반응 챔버로부터 증착 기판들을 제거하도록 구성된 로봇을 더 포함하고, 상기 제어기는,
    상기 로봇으로 하여금 미드-배치 도달 챔버 퍼지를 수행하기 전에 상기 반응 챔버로부터 상기 증착 기판들을 제거하게 하는 단계를 위한 인스트럭션들을 실행하도록 더 구성되는, 플라즈마 프로세싱 장치.
  26. 제 23 항에 있어서,
    상기 가스 전달 시스템으로 하여금 상기 인터벌 컨디셔닝 반응 챔버 퍼지를 수행하게 하는 단계는 또한 퍼지 가스로 하여금 상기 가스 전달 시스템의 하나 이상의 컴포넌트들을 통해 흐르게 하고, 그리고
    상기 가스 전달 시스템의 상기 컴포넌트들은 샤워헤드, 칼라, 가스 라인, 밸브들, 매니폴드, 세라믹 티, 및/또는 리모트 플라즈마 제어 모듈 중 하나 이상을 포함하는, 플라즈마 프로세싱 장치.
KR1020217019616A 2018-11-30 2019-11-27 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선 KR20210087545A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862773998P 2018-11-30 2018-11-30
US62/773,998 2018-11-30
PCT/US2019/063479 WO2020112923A1 (en) 2018-11-30 2019-11-27 Throughput improvement with interval conditioning purging

Publications (1)

Publication Number Publication Date
KR20210087545A true KR20210087545A (ko) 2021-07-12

Family

ID=70853131

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019616A KR20210087545A (ko) 2018-11-30 2019-11-27 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선

Country Status (7)

Country Link
US (1) US20210395885A1 (ko)
JP (1) JP2022510862A (ko)
KR (1) KR20210087545A (ko)
CN (1) CN113166935A (ko)
SG (1) SG11202105127TA (ko)
TW (1) TW202043529A (ko)
WO (1) WO2020112923A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112992718B (zh) * 2019-12-16 2023-12-01 中微半导体设备(上海)股份有限公司 一种抽气装置、抽气方法以及多腔等离子体处理器
US12014910B2 (en) * 2021-03-19 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6565791B1 (en) * 1997-09-30 2003-05-20 Tetra Laval Holdings & Finance S.A. Method and apparatus for treating the inside surface of plastic bottles in a plasma enhanced process
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
WO2004095502A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
KR20050093187A (ko) * 2004-03-18 2005-09-23 삼성전자주식회사 원자층 증착장치 및 그의 세정방법
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US20090017637A1 (en) * 2007-07-10 2009-01-15 Yi-Chiau Huang Method and apparatus for batch processing in a vertical reactor
US20110237051A1 (en) * 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
GB201207716D0 (en) * 2012-05-02 2012-06-13 Compactgtl Plc Catalyst treatment process
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging

Also Published As

Publication number Publication date
CN113166935A (zh) 2021-07-23
TW202043529A (zh) 2020-12-01
US20210395885A1 (en) 2021-12-23
JP2022510862A (ja) 2022-01-28
WO2020112923A1 (en) 2020-06-04
SG11202105127TA (en) 2021-06-29

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR102489449B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
KR20200043499A (ko) 웨이퍼 대 웨이퍼 막 두께 매칭을 위해 챔버 축적물의 함수로서 증착 사이클들의 수의 조절에 의한 두께 보상
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
TWI794318B (zh) 增加反應器處理批量大小的方法和設備
US20230066676A1 (en) Core removal
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping