TW202043529A - 利用間歇調節性沖洗的處理量改善 - Google Patents

利用間歇調節性沖洗的處理量改善 Download PDF

Info

Publication number
TW202043529A
TW202043529A TW108143327A TW108143327A TW202043529A TW 202043529 A TW202043529 A TW 202043529A TW 108143327 A TW108143327 A TW 108143327A TW 108143327 A TW108143327 A TW 108143327A TW 202043529 A TW202043529 A TW 202043529A
Authority
TW
Taiwan
Prior art keywords
reaction chamber
processing
wafers
flushing
batch
Prior art date
Application number
TW108143327A
Other languages
English (en)
Inventor
陳俊豪
傑瑞米 大衛 費爾德
法蘭克 勞倫 帕斯果
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202043529A publication Critical patent/TW202043529A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文的某些實施例係關於增加反應腔室處理批量大小的處理方法及設備。此等處理沉積基板(例如晶圓)之方法涉及:在一反應腔室中於一批量的沉積晶圓的第一部分上進行沉積;進行間歇調節性反應腔室沖洗以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及在該間歇調節性批量中間反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。該間歇調節性反應腔室沖洗係在超過腔室中容許缺陷(例如粒子)生成的基線之前進行,且係在沒有晶圓位於反應腔室內時進行。

Description

利用間歇調節性沖洗的處理量改善
本發明係關於利用間歇調節性沖洗的處理量改善。
半導體處理通常係在專用處理設備中進行,其中通常需要使有效產能最佳化。此等設備可包含容置用於在半導體加工期間處理一批量晶圓的各種硬體件(例如,基板支撐件、噴淋頭等)的反應腔室。在某些情況下,由於在反應腔室之各種內部元件及/或上游設備元件上的缺陷累積,其需要使處理停止並使腔室停機以進行清潔,因此在腔室清潔操作之間反應腔室所能處理之晶圓的總數(有時稱為批量大小)可能受到限制。
本文描述用於增加反應腔室批量大小的處理方法及設備。
在某些實施例中,可提供一種在處理設備中處理沉積基板之方法。該方法可包含:在一反應腔室中於一批量的沉積晶圓的第一部分上進行沉積;在到達累積基線之前進行間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及在該間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。
在某些實施例中,該方法可更包含:在該反應腔室中於該批量的沉積晶圓的該第一部分上進行沉積之後,將晶圓從該反應腔室中移除。在該間歇調節性反應腔室沖洗期間沒有晶圓位在該反應腔室內。
在某些實施例中,進行該間歇調節性反應腔室沖洗之步驟可基於該批量的該第一部分期間沉積材料的總累積而執行。
在某些此等實施例中,進行該間歇調節性反應腔室沖洗之步驟可在該反應腔室中之沉積材料的總累積到達一累積閾值時執行,並且該累積閾值可小於該累積基線。
在某些進一步的此等實施例中,該方法可更包含判定是否該反應腔室中之沉積材料的總累積已到達該累積閾值。可響應於判定該反應腔室中之沉積材料的總累積已到達該累積閾值,而執行進行該間歇調節性反應腔室沖洗之步驟。
在某些此等實施例中,該反應腔室中之沉積材料的總累積可基於在該批量的該第一部分中經處理之晶圓的計數。
在某些實施例中,進行該間歇調節性反應腔室沖洗之步驟可基於以下者而執行:該批量的晶圓的該第一部分中於該反應腔室內受處理之晶圓的計數。
在某些此等實施例中,該批量的晶圓的該第一部分可包含一晶圓數量,進行該間歇調節性反應腔室沖洗之步驟可在該批量的晶圓的該第一部分期間所處理之晶圓的計數到達該晶圓數量時執行,當已在反應腔室中處理第二計數的晶圓時可到達該累積基線,並且該計數可少於該第二計數。
在某些進一步的此等實施例中,該方法可更包含判定是否在該批量的晶圓的該第一部分期間所處理之晶圓的計數已到達該晶圓數量。可響應於判定在該批量的晶圓的該第一部分期間所處理之晶圓的計數已到達該晶圓數量,而執行進行該間歇調節性反應腔室沖洗之步驟。
在某些實施例中,該方法可更包含:在到達累積基線之前,在進行該批量的沉積晶圓之另一部分的沉積處理之後,進行第二間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及在該第二間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的第三部分上進行沉積。
在某些實施例中,該累積基線可基於該批量之該第一部分中之基板的計數。
在某些實施例中,該累積基線可基於該批量的該第一部分期間沉積材料的總累積。
在某些實施例中,該方法可更包含在到達該累積基線之後對該反應腔室的內部表面進行清潔。
在某些實施例中,該方法可更包含:在該反應腔室中進行該批量的沉積基板的該第一部分之沉積處理之後,將晶圓從該反應腔室中移除。在該間歇調節性反應腔室沖洗期間沒有晶圓位在該反應腔室內。
在某些實施例中,該間歇調節性反應腔室沖洗可包含:使沖洗氣體流過與該反應腔室流體連接的氣體輸送系統之一或更多元件。
在某些此等實施例中,該氣體輸送系統之該等元件可包含下列其中之一或多者:噴淋頭、軸環、氣體管線、閥、歧管、陶瓷T形管、及/或遠程電漿控制模組。
在某些實施例中,進行該間歇調節性反應腔室沖洗之步驟可包含使沖洗氣體在二或更多流率下流入該反應腔室。
在某些實施例中,進行該間歇調節性反應腔室沖洗之步驟可包含使沖洗氣體在一恆定流率下流入該反應腔室。
在某些實施例中,進行該間歇調節性反應腔室沖洗之步驟可包含使沖洗氣體流入該反應腔室達至少1分鐘。
在某些實施例中,該方法可更包含:在該間歇調節性反應腔室沖洗之至少一部分期間,施加RF功率至該反應腔室以在該反應腔室內產生電漿。
在某些此等實施例中,在施加RF功率至該反應腔室的期間可使所施加之RF的功率減小。
在某些此等進一步實施例中,在施加RF功率至該反應腔室的期間可使該反應腔室的壓力減小。
在某些實施例中,可提供一種用於處理基板的電漿處理設備。該設備可包含一反應腔室,其包含:內部腔室表面、用以在該反應腔室內支撐一基板的一基板支座、及用以將電漿及/或處理氣體提供至該反應腔室的一噴淋頭及一或更多入口,以及用以將材料從該反應腔室中移除的一排放埠。該設備亦可包含與該一或更多入口流體連接的一氣體輸送系統、以及一控制器,其係配置以執行用於下列操作的指令:在反應腔室中於一批量的沉積晶圓的第一部分上進行沉積;在到達累積基線之前使該氣體輸送系統進行間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及在該間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。
在某些實施例中,該控制器可進一步配置以執行用於以下操作的指令:在到達該累積基線之後引致該反應腔室的內部表面之清潔處理。
在某些實施例中,該設備可更包含一機器人,其係配置以將沉積基板從該反應腔室中移除,且該控制器可進一步配置以執行用於以下操作的指令:在進行該批量中間反應腔室沖洗之前使該機器人將沉積基板從該反應腔室中移除。
在某些實施例中,使該氣體輸送系統進行該間歇調節性反應腔室沖洗之操作可進一步致使沖洗氣體流過該氣體輸送系統之一或更多元件,並且該氣體輸送系統之該等元件包含下列其中之一或多者:噴淋頭、軸環、氣體管線、閥、歧管、陶瓷T形管、及/或遠程電漿控制模組。
在某些實施例中,一種處理沉積基板(例如晶圓)之方法可涉及:在一反應腔室中於一批量的沉積晶圓的第一部分上進行沉積;進行間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室及/或反應腔室上游的處理設備之元件(例如氣體管線、閥等)中移除;以及在該間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。間歇調節性反應腔室沖洗係在超過腔室中容許缺陷(例如粒子)生成的基線之前進行。
依據許多實施例,間歇調節性反應腔室沖洗可由例如晶圓計數或累積的沉積厚度所觸發,該晶圓計數或累積的沉積厚度係經驗上判定為與基線容許最大缺陷水平相關聯。該沖洗處理通常為在適當壓力下且持續一適當時間的通過腔室及/或系統之惰性氣體(例如Ar)流動,用以移除產生的缺陷(例如粒子)。以下進一步描述適當範例。
如上所述,依據許多實施例,該沖洗處理可替代性地或附加地從腔室延伸至反應腔室上游的處理設備之元件,例如氣體管線、閥等。
依據許多實施例,可在整個批量期間重複進行沉積/沖洗循環,直到到達最大累積限度為止。
依據許多實施例,處理設備(例如原子層沉積(ALD)工具,例如可從加州費利蒙的蘭姆研究公司購得的Striker Oxide工具)之週期性(本文亦稱為間歇或批量中間)沖洗可改善缺陷性能(即使當累積增長時)。其亦可容許在需要完全腔室及/或設備清潔之前不超過缺陷生成的基線容許最大水平的情況下使得最大累積增加。因此,該方法可改善缺陷性能並同時擴展累積限度,其使得晶圓處理設備及相關處理系統的產能增加。
在許多實施例中,可在無需額外硬體之情況下實施該方法,並且具有一控制器,其係經編程以監視處理(包括監測晶圓上的粒子量測)並在一設定數量的晶圓或一固定累積限度之後觸發沖洗處理。
在特定實施例中,對晶圓進行處理直到到達累積限度為止,該累積限度係基於單元薄膜特性及其何時開始漂移而經實驗方式決定。因設備中之靶外材料沉積而造成的缺陷(例如粒子)生成通常為與在較高累積量下的漂移薄膜特性有關的主要問題。間歇(批量中間)調節性沖洗係基於例如晶圓計數或累積而執行。在觀察到失控(OOC)事件之前,該沖洗處理將腔室表面、噴淋頭、及上游設備元件沖洗。此間歇調節性沖洗使得最大累積限度增加,並因此使得產能增加。
另一態樣涉及一種用於處理基板的電漿處理設備。該設備可更包含:一反應腔室,其包含內部腔室表面、用以在該反應腔室內支撐一基板的一基板支座、及用以將電漿及/或處理氣體提供至腔室的一噴淋頭及一或更多入口、以及用以將材料從該反應腔室中移除的一排放埠;以及一控制器,其係經編程以執行用於下列操作的指令:在反應腔室中於一批量的沉積晶圓的第一部分上進行沉積;進行間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室及/或反應腔室上游的處理設備之元件(例如氣體管線、閥等)中移除;以及在該間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。間歇調節性反應腔室沖洗係在超過腔室中容許缺陷(例如粒子)生成的基線之前進行。
在某些實施例中,該控制器係進一步編程以執行用於下列操作的指令:在達到期望晶圓處理或最大累積限度之後,對該反應腔室的內部表面進行清潔。
該等及其他的實施態樣於以下參照圖式而進一步描述。
在以下的敘述中,說明了大量的特定細節,以提供對本發明的徹底理解。在毋須若干或全部此等特定細節之情況下即可實行本發明。在其他範例中,為了不使本發明晦澀難懂,習知的處理操作不會有詳細描述。此外,雖然本發明與特定實施例一同敘述,但應理解,特定實施例並非試圖限制本發明。
在此應用中,用語「晶圓」及「基板」係可互換地使用。用於半導體裝置產業中的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。除非另外說明,否則本文所述之處理細節(例如,流動速率、功率位準等)係相關於處理300 mm直徑之基板、或相關於配置以處理300 mm直徑之基板的處理腔室,且可針對其他尺寸之基板或腔室而視情況按比例縮放。本文所述腔室可用於處理可為各種外形、尺寸、及材料之工件。除了半導體晶圓之外,可於依據某些實施例而製備之腔室中受處理的其他工件包含各種物件,例如印刷電路板、磁記錄媒體、磁記錄感測器、鏡、光學元件、微機械裝置等。前言及背景
在半導體製造中,期望獲得有效率的反應腔室生產力(亦稱為高產能)。習知上,將批量晶圓供應至反應腔室以在其中於(複數)晶圓上進行處理(例如沉積)。然而,材料意外地靶外沉積於各種內部腔室表面(例如反應腔室之側壁、噴淋頭)、及/或反應腔室上游的處理設備之元件(例如氣體管線、歧管、閥等)上可能導致最終的缺陷,例如粒子生成,例如,其係因此類材料剝脫至在腔室中受處理的晶圓上而造成。此等靶外材料剝脫係不樂見的,因為其可能汙染受處理之晶圓,從而使所處理之批量晶圓的整體品質降低。材料在各種內部腔室表面上的意外靶外沉積在本文中稱為「累積」。由於多個基板在同一腔室內於該腔室的清潔操作之間受處理,累積現象隨著更多基板受處理而增加。對於某些ALD處理而言,累積現象實質上線性地增加(例如,在線性之增減10%內)、或非線性地增加(例如多項式表示,如三次多項式,包括:
Figure 02_image001
,其中x為累積量,而y為腔室中受處理之基板的量測厚度)。因此,當累積量達到此等厚度(其可稱為累積限度)時,停止基板處理並對腔室進行清潔。
習知上,在達到反應腔室批量大小後,需立即實施反應腔室內部之完整清潔,反應腔室批量大小為:在受處理之晶圓實質上可能因缺陷(例如粒子生成)而汙染之前,能夠在反應腔室中受處理之晶圓的最大數量,其中缺陷係肇因於腔室及/或其他設備元件中累積的靶外沉積。實施此等清潔需要使反應腔室移出容納於其中用於處理的內容物,因此可能使產能降低,且妨礙在指定時間內之較大批量的晶圓處理。
增加反應腔室批量大小應會使製程與設備生產力(產能)增加,其係藉由容許額外的晶圓在所需清潔循環之間於反應腔室中受處理。此等增加可透過實施本文所述之間歇調節性沖洗(其在本文中亦可稱為批量中間反應腔室沖洗)而達成。本文所用之用語「批量中間」未必意指在批量晶圓的中間;而是意指在批量晶圓的處理開始之後且在批量晶圓的處理結束之前(例如達到累積限度之前)的一或多個點。
圖1呈現反應或處理腔室的簡化例示圖,可針對該反應或處理腔室而實施依據本揭示內容的製程及設備。反應腔室100包含腔室壁102。基板支座104係定位於處理腔室100內,基板106(例如經部分加工之半導體晶圓)被固持於基板支座104上以進行處理。處理腔室亦包噴淋頭108及軸環110、及供處理氣體及/或電漿用的一或更多入口112、及一或更多排氣出口114。在某些實施例中,在處理腔室中或上游設置直接及/或遠程電漿源(未圖示)。該電漿源包含用於產生電漿的電漿產生器元件(例如,線圈、電極等),電漿可為感應耦合式電漿(ICP)、電容耦合式電漿(CCP)、或微波生成電漿等。圖1亦顯示代表沉積材料的陰影圓115,其,且此圖式顯示出此等沉積材料115可能累積在處理腔室100的表面上,例如在腔室壁102、噴淋頭108、軸環110、及基板支座104上;如本文所述,在反應腔室之內部而不在基板106上的此等沉積材料可被視為腔室累積。一旦到達累積限度,此等沉積材料115即可能剝落並在反應腔室內傳遞並到達基板106上,其可能經由引起基板缺陷而對基板造成不利影響。
圖2顯示一設備之反應腔室上游的元件之簡化例示圖。反應腔室200包含腔室壁202、頂部203A、及底部203B、在底部203B中的排氣埠214、噴淋頭208、軸環210、基板支座204、及定位於基板支座204上的基板206。該設備的氣體輸送系統220係由虛線矩形所包圍,且係經由一或更多入口(例如第一入口212)與反應腔室200(包括噴淋頭208及軸環210)流體連接。依據所揭示之實施例,反應腔室200可視為用於處理基板(例如半導體晶圓)的電漿輔助原子層沉積(PEALD)反應器。氣體輸送系統220包含複數元件,包括(但不限於)噴淋頭軸環210、與噴淋頭208及/或軸環210流體連接的陶瓷T形管(CT) 222、將CT 222流體連接至第一入口212之選用性的氣體導管、與CT 222流體連接的歧管224、與歧管224流體連接以用於處理的流體管線226A、226B、及226C、與CT 222流體連接的遠程電漿清潔(RPC)模組228、以及與RPC模組流體連接以用於氣體導入的流體管線230A及230B。氣體輸送系統亦可包含非此等元件的其他元件,例如各種管路、管件、閥、歧管、流量控制元件(例如質量流量控制器)。
可使流體管線226A、226B、及226C流體連接至各種流動元件,例如可包含前驅物、反應物等的氣體源、以及流體連接至排氣部或從反應腔室分流的分流管線。相似地,可使流體管線230A及230B連接至氣體源,例如清潔氣體或遠程電漿源。在處理批量晶圓的過程中,沉積的材料及其他汙染物可能在氣體輸送系統220內形成。此材料可能形成於不同氣體混合之處,例如連接點及歧管,如噴淋頭208、軸環210、CT 222、歧管224、RPC模組228、及連接至該等元件之流體導管的內部表面。此不樂見之沉積材料係由陰影圓215所表示。如圖2之箭頭所示,最終可能使得該等汙染物向下游流動至基板206,此為不樂見的,因為其可能汙染基板206並造成不樂見的基板缺陷。如下所述,在某些實施例中,對該等氣體輸送元件進行間歇調節性反應腔室沖洗係有利的。
基板 (例如半導體晶圓)之處理可涉及在其上透過各種製程進行沉積,例如原子層沉積(ALD)。在晶圓處理期間,指定數量之晶圓(例如一、二、或四個晶圓)可在處理腔室中經受處理,然後被循環移出,以容許新的未處理晶圓進入。在花費於處理大量晶圓的某時間量之後,欲沉積於晶圓上之材料可能開始累積在非預期之位置上,例如腔室壁及其他腔室及/或上游元件上,如圖1及2中之腔室及上游元件中及其上顯示之粒子(缺陷)的存在所示。結果,此類靶外沉積材料可能開始產生粒子,例如從腔室壁剝脫並下落(或移動)至基板上而污染晶圓處理,並導致單位薄膜特性漂移。
在典型情況下,在處理腔室中一次處理一晶圓集合(例如一或更多,如一片、兩片、或四片晶圓),例如在處理腔室內於晶圓上進行沉積。例如,在多站工具(如可從蘭姆研究公司購得)中,四片晶圓可進入處理腔室中、經受處理、並接著被移去。接著,四片另外的未經處理之晶圓可被輸送至處理腔室中以進行處理。晶圓集合之此等傳輸及處理直到達到所需腔室清潔之間的總目標量或「批量」為止可稱為「批量處理」。可連續地處理晶圓(如上所述,一次一或多片),直到達到最大反應腔室批量大小(例如由最大容許總沉積累積限度或與其相關聯的晶圓計數所決定)為止。因此,在某些實施例中,各個批量包含複數基板,其可具有若干片至數百片的基板。
一「批量」晶圓指涉:在需將反應器停機以進行完全清潔之前,可在反應腔室清潔循環之間於反應腔室中受處理的晶圓總數,其中將反應器停機以進行完全清潔係為了在無製程漂移及/或晶圓汙染之風險下繼續處理晶圓,製程漂移及/或晶圓汙染係肇因於粒子生成,例如內部反應器元件(尤其係側壁)上的累積靶外材料沉積剝脫,其係歸因於反應腔室中的晶圓處理。因此,一「批量」晶圓指涉在到達累積限度之前或之時對於一特定ALD處理而言可處理的基板數量。例如,特定腔室中的ALD處理可具有20,000Å的累積限度,其為腔室上的累積對該腔室中所處理之基板造成不利影響時的點(亦即,累積限度),且該腔室中所處理之基板的批量被限制於在到達20,000Å的累積限度之前可處理的基板數量。在某些實施例中,批量中的第一晶圓為腔室清潔之後所處理的第一片晶圓。在多站反應器中,一起處理複數晶圓,因此第一晶圓可為一組晶圓中的一部份,該部份共同為一批量中所處理的第一組晶圓。最後晶圓為腔室清潔之前所處理之最後的晶圓。在多站反應器中,有多個最後晶圓。本發明提供間歇或批量中間沖洗以移除缺陷(例如在腔室或上游元件中所產生的粒子)的一替代性方法,俾使晶圓處理能夠在到達可承受的最大程度累積/晶圓計數之前繼續進行,且在可繼續進行處理之前必須對腔室進行清潔。藉此方式,使得批量大小增加、擴展累積限度、並使產能提高。例示性實施例
在某些實施例中,間歇調節性(或批量中間)反應腔室沖洗為:在批量晶圓之處理的期間,當沒有晶圓在反應腔室內時,對反應腔室及/或與反應腔室流體連接的氣體輸送系統之元件進行的沖洗處理。如上所述,一批量基板指涉在到達累積限度之前或之時對於特定沉積處理而言可處理的基板數量。因此,此間歇調節性反應腔室沖洗係在一批量晶圓之處理的期間所進行,其係在處理批量晶圓的一部份之後且在到達累積限度並欲清潔腔室之前進行;在執行此間歇調節性反應腔室沖洗之後,對同一批量晶圓的另一部分進行處理。例如,一批量晶圓可具有500片晶圓,且在此批量中的200片晶圓受處理(例如,被裝載至反應腔室中、透過在晶圓上沉積期望的材料量而進行處理、並接著從反應腔室卸載)之後,可在反應腔室中無晶圓的情況下進行間歇調節性反應腔室沖洗,並且在此間歇調節性反應腔室沖洗之後,可對該批量中之剩餘的300片晶圓進行處理。在某些情況下,可在批量晶圓之處理期間執行多於一次的間歇調節性反應腔室沖洗。
附加地或替代性地,間歇調節性反應腔室沖洗可包含與反應腔室流體連接的氣體輸送系統之各種元件(例如噴淋頭、氣體管線、歧管、及閥)的沖洗處理。
圖3A顯示概括程序流程300,用以在一批量半導體晶圓之處理的期間進行間歇(批量中間)調節性腔室沖洗。程序300開始於操作302,其涉及在304將一或更多晶圓提供至一反應腔室,例如圖1所示之處理腔室。
在某些實施例中,可使複數晶圓進入反應腔室以進行多站的依序處理,之後在處理完成後即從反應腔室中移去。在其他實施例中,可將腔室配置為一次處理一個晶圓。如上所述,複數晶圓之處理可統稱為「批量處理」,其中一「批量」晶圓指涉:在需將反應器停機以進行完全清潔之前,可在反應腔室清潔循環之間於反應腔室中受處理的晶圓總數,其中將反應器停機以進行完全清潔係為了在無製程漂移及/或晶圓汙染之風險下繼續處理晶圓,製程漂移及/或晶圓汙染係肇因於粒子生成,例如內部反應器元件(尤其係側壁)上的累積靶外沉積材料剝脫,其係歸因於反應腔室中的晶圓處理。一般而言,清潔循環涉及將反應腔室完全停用,以在無汙染風險下繼續處理晶圓之前提供完全清潔,該汙染係肇因於粒子生成,例如,沉積於內部反應器元件(尤其係側壁)上的累積靶外沉積材料剝脫,其係歸因於反應腔室中之先前的晶圓處理。在某些情況下,在反應腔室中受處理的第一片晶圓(對於單站腔室而言)或第一組晶圓(對於多站腔室而言)可視為在清潔腔室之後受處理的第一基板。
操作304中批量晶圓的一部份之處理包含對少於批量中之晶圓總數的晶圓數量進行處理。在上述範例中,200片晶圓可為在執行操作306之前所處理之部分的晶圓。在某些此等實施例中,對於單站反應腔室而言,操作304包含重複裝載一晶圓至反應腔室中、對該一晶圓進行處理(例如在晶圓上沉積一或多層材料)、從反應腔室中移除該一晶圓、並且裝載另一晶圓。在某些實施例中,對於多站反應腔室而言,操作304可包含裝載二或更多晶圓至反應腔室中、對該二或更多晶圓進行處理、從反應腔室中移除該二或更多晶圓中之一或多者、並且裝載一或更多另外的晶圓至反應腔室中。
在典型的批量處理過程中,期望的製程產能可能受到限制,其係歸因於在批量晶圓處理期間反應腔室之內部表面上之靶外材料的持續累積。透過實施反應腔室之間歇調節(批量中間)沖洗以去除缺陷(例如,所產生之粒子),操作306(在批量晶圓之一部分的初始處理之後進行)解決靶外沉積之問題。依據各種實施例,間歇調節性反應腔室沖洗可由例如晶圓計數或累積的沉積厚度所觸發,該晶圓計數或累積的沉積厚度係經驗上判定為與基線容許最大缺陷水平相關聯。可在操作306之間歇調節性反應腔室沖洗開始之前,將反應腔室內的任何晶圓從反應腔室中移去,以避免肇因於間歇調節性沖洗操作之不樂見的汙染。因此,晶圓在任何的間歇調節性反應腔室沖洗期間不會被置放於反應腔室中;因此,不會在ALD沉積循環期間或同一基板之複數ALD沉積循環之間進行此間歇調節性反應腔室沖洗。在操作306之的間歇調節性反應腔室沖洗完成之後,於操作310中結束程序300之前,可於操作308中在反應腔室內對批量晶圓之另一部分進行處理。在上述範例中,該批量晶圓之另一部分為剩餘的300片晶圓,其係在200片晶圓之處理及間歇調節性反應腔室沖洗之後受處理。
圖3B顯示在一批量半導體晶圓之處理期間進行間歇調節性反應腔室沖洗的例示性實施例。程序350包含與圖3A之程序300相同的操作,包括分別為程序350中之操作352、354、358、及360的操作302、304、308、310,除此之外此程序350還包含經修改之操作306(現為操作356)及新的操作364(於下文中討論)及362。操作362提供將晶圓自反應腔室中移除,如上所述;在操作356的整個間歇調節性反應腔室沖洗期間,未將晶圓置放於反應腔室中。同樣地,在間歇調節性反應腔室沖洗之前和期間的此移除操作係有利的,因為其避免了來自間歇調節性沖洗操作的不樂見晶圓汙染。經修改之操作356指明在間歇調節性反應腔室沖洗期間晶圓不在反應腔室內。
如上所提供,間歇調節性反應腔室沖洗之起動及執行可基於到達特定閾值的腔室累積、晶圓計數、及/或沉積循環計數。藉由指明基於腔室累積、晶圓計數、及/或沉積循環計數而執行間歇調節性反應腔室沖洗,操作356反映此關聯。例如,一旦已處理批量中特定數量的晶圓(亦即,晶圓計數)、當已在反應腔室中執行特定總數的沉積循環(亦即,循環計數)時、及/或當腔室累積量已到達低於累積限度的特定量時,即可執行間歇調節性反應腔室沖洗。該等閾值小於造成達到累積限度(其引致腔室清潔)的數量,例如小於導致該累積限度的晶圓計數及循環計數。
在某些實施例中,判定是否腔室累積、晶圓計數、及/或沉積循環計數已到達該特定閾值,並且可基於此判定而進行間歇調節性反應腔室沖洗。例如,可判定是否腔室累積、晶圓計數、及/或沉積循環計數已到達特定閾值,且若判定已到達該特定閾值,則可進行間歇調節性反應腔室沖洗。在另一情況下,批量晶圓的第一部分可具有N片晶圓,且該批量晶圓具有Y片晶圓,其中Y大於N。一旦在反應腔室中所處理之晶圓數量已到達N片晶圓之晶圓計數,即可視為到達晶圓計數閾值,其觸發間歇調節性反應腔室沖洗之執行。當晶圓計數到達Y時,達到累積基線(例如欲執行清潔操作時)。判定步驟可為圖3B之程序350中的選用性操作364。
雖然未包含於圖3B之程序356中,但間歇調節性反應腔室沖洗之執行可基於判定腔室累積、晶圓計數、及/或沉積循環計數已到達特定的一或更多閾值。例如,可響應於判定反應腔室中之沉積材料的總累積已到達累積閾值而進行間歇調節性反應腔室沖洗;此外,可響應於判定在批量晶圓之第一部分期間所處理的晶圓之計數已到達晶圓計數閾值(例如,在上述範例中為晶圓計數N)而進行間歇調節性反應腔室沖洗。在某些情況下,腔室累積之判定可至少部分基於在反應腔室中所進行之每一沉積處理的沉積速率。不同的沉積處理可具有不同的沉積速率,其可進而改變在處理批量晶圓期間之累積量及累積增長的速率。
在某些實施例中,可在批量晶圓之處理期間進行多於一次的間歇調節性反應腔室沖洗。例如,可在處理批量中之晶圓的第一部分之後進行第一間歇調節性反應腔室沖洗,然後對晶圓的第二部分進行處理,然後進行第二間歇調節性反應腔室沖洗,接著最後對晶圓的第三部分進行處理,在此之後達到累積基線或限度,並執行腔室清潔操作。在單一批量晶圓期間進行多次間歇調節性反應腔室沖洗可為有利的,因為此可進一步使執行腔室清潔操作之需求延後。在某些實施例中,以相同的方式(例如以相同流率且相同持續時間)進行多次間歇調節性反應腔室沖洗,而在其他實施例中,取決於沉積參數,在不同條件下進行間歇調節性反應腔室沖洗可為有利的。例如,在處理批量基板的過程中腔室累積量增加,較後期進行的一或更多間歇調節性反應腔室沖洗若以比先前者更長的持續時間及/或更高的流率進行俾移除更多的腔室累積及/或氣體輸送系統中之不樂見沉積,則可為更有利的。
可使用有利的各種參數(例如持續達一特定時間、在特定的一或更多流率下、及/或在特定的一或更多壓力下)以執行間歇調節性反應腔室沖洗。圖5顯示可使用的間歇調節性反應腔室沖洗條件之簡易圖表。噴淋頭及腔室氣體組成通常為惰性氣體,但在某些實施例中,該氣體可由例如(但不限於)Ar、H2 、He、O2 、N2 之任何組合所組成。流率通常大於1 SLM,但在某些實施例中,該等流率可配置以獨立地使內部/上游元件之沖洗處理最大化。對於需要產能最佳化的特定情況而言,存在可用以定制解決方案的寬廣範圍之條件。
在某些實施例中,可進行間歇調節性反應腔室沖洗達致使某些不樂見累積去除的時間量,該時間量係大於在其他預處理、處理、及處理後操作期間流動其他氣體的時間。例如,在某些情況下,進行間歇調節性反應腔室沖洗達至少1分鐘(例如至少1分30秒、5分鐘、10分鐘、及30分鐘)為間歇調節性反應腔室沖洗可移除期望數量之不樂見腔室累積的時間量。若未進行間歇調節性反應腔室沖洗達足夠長的時間,則無法去除期望數量的腔室累積。該等間歇調節性反應腔室沖洗的時序通常可比其他沖洗操作更長,例如在ALD循環期間所執行的沖洗操作可在0.5秒至約1.5秒之間的範圍內;該等短持續時間之流動(例如比持續至少1分鐘的間歇調節性反應腔室沖洗短120倍)可能不會去除期望數量的腔室累積。
在某些實施例中,可在致使某些不樂見累積去除的各種流率及/或壓力下進行間歇調節性反應腔室沖洗。在某些此等實施例中,間歇調節性反應腔室沖洗之流率可為例如至少每分鐘2.5標準公升(slm)、3 slm、5 slm、10 slm、15 slm、30 slm、45 slm、及60 slm;壓力可介於約0.05 Torr至6 Torr之間,包括2至2.2 Torr之間。在某些實施例中,在整個間歇調節性反應腔室沖洗處理期間,間歇調節性反應腔室沖洗的流率及/或壓力可維持恆定。在某些其他實施例中,間歇調節性反應腔室沖洗的流率及/或壓力可進行變化,使得其在間歇調節性反應腔室沖洗處理期間具有至少兩種不同流率及/或兩種不同壓力。在某些此等實施例中,可重複或循環各種流率及/或壓力,例如第一流率然後低於第一流率的第二流率,接著第一流率然後第二流率。在某些此等情況下,第一流率可為一高流率(例如至少10 slm),而第二流率可低於5 slm。在某些實施例中,間歇調節性反應腔室沖洗的流率及/或壓力可與其他沖洗操作的流率及壓力相同。在某些其他實施例中,間歇調節性反應腔室沖洗的流率及/或壓力可高於其他沖洗操作的流率,例如在ALD循環期間所執行者可例如在約1 slm至3 slm之間的範圍內。
在某些實施例中,間歇調節性反應腔室沖洗的合併流率及持續時間使得間歇調節性反應腔室沖洗不同於其他沖洗操作。例如,間歇調節性反應腔室沖洗的流率可與ALD循環期間所執行之沖洗操作相同,但間歇調節性反應腔室沖洗與ALD循環之沖洗操作的持續時間係不同的。
因此,在操作306實施批量中間(間歇)調節性沖洗,可使所需的清潔循環之間能在反應腔室內進行處理的晶圓總數增加,因而使欲處理之晶圓的批量大小有效增加,並擴大在對腔室進行清潔之前、處理可繼續之前可容忍的累積/晶圓計數之最大程度。如此可藉由在所需乾式清潔循環之間延長反應腔室的可用性或壽命而提供給定反應腔室中受處理之晶圓的總操作產能。
在某些實施例中,可於間歇調節性反應腔室沖洗中之至少某些期間在反應腔室中產生電漿。與上述相似,在此電漿產生期間不將晶圓設置於反應腔室中。可透過施加RF功率至反應腔室而產生電漿,例如介於400W至5,000W之間,包括介於850W至4,500W之間。可於施加RF功率的同時在間歇調節性反應腔室沖洗期間流動氣體,例如氬、分子氧、氧化亞氮(N2 O)、或其組合,例如氬與分子氧、或N2 O與分子氧。在某些情況下,可在整個間歇調節性反應腔室沖洗期間施加RF功率,而在某些其他情況下,可僅在間歇調節性反應腔室沖洗之一部分期間施加RF功率。在某些情況下,反應腔室中之RF功率施加及電漿產生可使得電漿的電場有利地吸引缺陷及污染物,俾在間歇調節性反應腔室沖洗期間將其移除。
在間歇調節性反應腔室沖洗期間調整RF功率可為有利的,其可包含使施加的RF功率逐步下降、或減低。此可包含多次減低和調整。隨著施加至反應腔室的RF功率逐步下降、或減低而一起使反應腔室的壓力逐步下降、或減低亦可為有利的。雖然本發明不受任何特定操作理論所限制,但應理解,使施加的RF功率及反應腔室壓力兩者逐步下降可為有益的,因為一般認為初始高RF功率對於吸引腔室中之缺陷及不樂見材料係有用的,且使壓力逐步下降有助於透過減少氣體及物種在腔室中滯留而從腔室中去除該等缺陷。隨著壓力減低而使RF功率逐步下降亦被認為會減小電場的吸引,其使得反應腔室內的缺陷及污染物能夠從反應腔室中排出並被去除。RF功率逐步下降可為步進調整,例如從4,500 W至3,000 W,而壓力減小可為恆量減小或步進調整。反應腔室壓力可從例如至少5 Torr降低至至多0.5 Torr、或從4.5 Torr降低至1 Torr。
在另一實例中,經發現,相比於使施加的RF功率及反應腔室中之壓力兩者皆減小,在減小壓力的同時維持恆定的高RF功率在反應腔室中所減少的缺陷較少。在某些此等情況下,使功率及壓力兩者皆逐步上升可能亦較不具益處,其係歸因於對缺陷的較大電場吸引力及腔室中之較長氣體/物種滯留時間。在間歇調節性反應腔室沖洗期間調整RF功率之其他參數亦可為有利的,例如施加RF功率的時間、及RF功率施加期間的RF功率及氣體流量。
圖3C顯示在批量半導體晶圓之處理期間用於實施間歇調節性反應腔室沖洗的另一例示性實施例程序流程370。程序370包含與圖3A之程序300相同的操作,包括分別為程序370中之操作372、374、378、及380的操作302、304、308、及310,除此之外此程序370還包含經修改的圖3B之操作356(現為圖3C中之操作376)及上述的圖3B之操作362(現為圖3C中之操作382)。程序370包含新的操作388及新的選用性操作390,新的操作388表示在本文所述之間歇調節性反應腔室沖洗的至少一部份期間施加RF功率至反應腔室,俾在反應腔室中產生電漿,而新的選用性操作390包含在間歇調節性反應腔室沖洗期間使反應腔室壓力及施加的RF功率逐步下降。如上所述,可在間歇調節性反應腔室沖洗其中的一些或全部期間施加RF功率。RF功率可維持恆定,或者在某些實施例中,可在間歇調節性反應腔室沖洗期間調整RF功率,例如選用性操作390所指示的逐步下降。此處,在此選用性操作390中,在間歇調節性反應腔室沖洗期間的RF功率施加期間使RF功率及壓力兩者皆減小。RF功率可開始於一高功率(例如4,500 W),並接著以步進調整降低至較低功率(例如停止於800 W的功率),並例如同時使壓力以一恆定速率降低(例如從4.5 Torr降低至1 Torr)。
如上所提供,除了在間歇調節性反應腔室沖洗期間將反應腔室沖洗之外,間歇調節性反應腔室沖洗亦可將與反應腔室流體連接的氣體輸送系統之各種元件沖洗,例如設置於反應腔室內的噴淋頭以及與噴淋頭流體連接的各種流動元件(例如氣體管線、流動元件、閥、彎管、及歧管)。參照回圖2,間歇調節性反應腔室沖洗可包含使沖洗氣體流過氣體輸送系統220的一或更多元件,例如軸環210、CT 222、歧管224、RPC模組228、及與該等元件之各者流體連接的元件(例如流體管線226A、226B、226C、230A、及230B)。在某些實施例中,歧管224可具有以下能力:使流經歧管224的氣體沿第一流動方向流至反應腔室200(例如通過CT 222及噴淋頭208)、及沿第二方向通過流動導管226A流至分流管線。間歇調節性反應腔室沖洗可包含使沖洗氣體流至歧管224中並使歧管224在第一流動方向與第二流動方向之間交變沖洗氣體的流動,俾將歧管224的兩個方向及元件沖洗。相似地,間歇調節性反應腔室沖洗可包含使沖洗氣體流過與反應腔室200流體連接的元件之所有內部流動路徑,例如RPC模組228及CT 222的所有內部流動路徑。
圖4為顯示依據揭示實施例的方法及設備的缺陷生成減少情況之數據圖。該圖繪示:針對三種不同缺陷輪廓,在使用與不使用本文所述之間歇調節性腔室沖洗(在圖中標示為「IC Prg」,其在本文中亦可稱為間歇調節性沖洗)之情況下,在總計累積0.15、1、2、2.5、及3 μm的沉積材料之後的缺陷生成情況。左上側的圖顯示監測晶圓上觀察到的粒徑大於38 nm之粒子總數。左中圖為監測晶圓上的粒徑小於80 nm之粒子之子集。左下圖為監測晶圓上的粒徑大於80 nm之粒子之子集。顯示出,在圖中未使用IC沖洗的監測晶圓具有整體上較高的結果,且當粒子小於80 nm時在大於2.5 μm累積之情況下發生失控(OOC)。在無間歇調節之情況下,對於大於80 nm的粒子而言,於1.0及2.0 μm下觀察到失控事件。右側圖顯示,當在各個情況下執行本文所述之間歇調節沖洗時不會觀察到缺陷生成的明顯增加。
圖9A–9C為顯示依據揭示實施例的方法及設備的缺陷生成減少情況之額外數據圖。圖式繪示:針對三種不同缺陷輪廓(圖9A中之第一缺陷輪廓、圖9B中之第二缺陷輪廓、及圖9C中之第三缺陷輪廓),在總計累積0.15、0.96、1.32、及1.74 μm的沉積材料之後的缺陷生成情況。各個缺陷輪廓顯示三種間歇調節性反應腔室沖洗的數據,其中第一個的「BSLN」顯示依據第一組參數(在圖中標示為「BSLN」)之間歇調節性反應腔室沖洗的數據,第二個的「CIP氣體沖洗」顯示在第二組參數(在圖中標示為「CIP氣體沖洗」)下之間歇調節性反應腔室沖洗的數據,且第三個的「CIP RF/氣體沖洗」顯示與圖9B中在第二組參數下之間歇調節性反應腔室沖洗相同、並且施加RF功率至反應腔室且如上述地使RF功率及壓力減低的數據(在圖中標示為「CIP RF/氣體沖洗」)。圖9A中的繪圖顯示監測晶圓上所觀察到的粒徑大於38 nm之粒子總數,圖9B中的繪圖顯示監測晶圓上的粒徑大於80 nm之粒子之子集,而圖9C為監測晶圓上的粒徑小於80 nm之粒子之子集。顯示出,間歇調節性反應腔室沖洗的參數影響由間歇調節性反應腔室沖洗所去除的缺陷,如因不同參數而有不同結果的BSLN及CIP氣體沖洗所示。如上所述,該等參數可為流率、沖洗之持續時間、及可進行沖洗的氣體輸送系統之元件。從圖9A–9C之範例中亦可看出,與不施加RF功率之間歇調節性腔室沖洗相比,施加RF功率之間歇調節性腔室沖洗使得缺陷減少。
在某些實施例中,可以如同晶圓上之單一沉積一樣頻繁的間隔觸發間歇沖洗。更頻繁地,在其他實施例中,可在失控(OOC)事件之前,以多達在複數晶圓上之複數沉積的間隔觸發間歇沖洗,其係取決於在腔室表面上的預期累積(基於沉積參數,尤其係沉積厚度/腔室表面上的預期靶外沉積增加)。例如,若在製程A中各晶圓或各組晶圓之後腔室表面上的預期靶外沉積增加明顯少於製程B,則在製程A中於需進行間歇沖洗之前可處理比製程B相對更多的晶圓。但可透過反應腔室及/或與反應腔室流體連接之氣體輸送系統的元件之間歇(批量中間)調節性沖洗而增加以上兩個製程中的批量大小(在需進行腔室清潔之前所處理的晶圓數)。
參照圖6,顯示藉由ALD在基板上沉積薄膜的示意圖。在許多實施例中,沉積含矽膜,例如氧化矽(例如SiO2 )、氮氧化矽、或氮化矽。ALD為利用循序的自限制反應以沉積材料薄膜的技術。可利用任何合適技術以執行ALD。在許多實施例中,可使用電漿執行ALD、或可熱執行ALD、且可循環執行ALD。
「ALD循環」之概念與本文許多實施例之討論相關。如上所述,間歇調節性反應腔室沖洗係以不同於ALD沉積期間所執行之氣體流動的方式進行。一般而言,ALD循環為用以執行一次表面沉積反應的最小操作集。一個循環的結果為在基板表面上產生至少部分的含矽薄膜層。通常,ALD循環所包含之操作用以使至少一反應物輸送和吸附至基板表面,並接著使吸附的反應物與一或更多反應物進行反應,俾形成部分的薄膜層。該循環可包含某些輔助操作,例如清除反應物或副產物之其中一者、及/或處理所沉積之部分薄膜。一般而言,循環包含獨特操作序列之一個實例。舉例而言,ALD循環可包含以下操作:(i)含矽前驅物之輸送/吸附、(ii)將含矽前驅物從腔室中沖洗、(iii)第二反應物(例如氧化劑)與電漿之輸送、及(iv)將電漿從腔室中沖洗。
依據本發明,可在不同晶圓之沉積處理之間每隔適當間隔使用間歇調節性(批量中間)反應腔室沖洗,俾增加批量大小。依據許多實施例,可在整個批量期間重複進行沉積/批量中間調節性沖洗循環,直到達到最大累積限度為止。
圖6顯示用於沉積氧化矽(SiO2 )之ALD循環的例示性示意圖。圖182a-182e顯示通用的ALD循環。在182a中,提供一矽基板,其包含許多矽原子。在182b中,將含矽前驅物或矽源導入至基板,且某些矽原子吸附於基板上。在182c中,將未吸附之含矽前驅物或矽源從腔室中沖洗。在182d中,以氧自由基的形式將氧導入,且經吸附之矽與氧自由基在基板表面上進行反應而形成SiO2 膜。在182e中,將腔室沖洗並去除副產物,留下SiO2 之沉積層。
在某些實施例中,由ALD所沉積的薄膜可為高度保形的。薄膜的保形度可透過階梯覆蓋率加以量測。可透過將特徵部之底部、側壁、或頂部上的沉積膜之平均厚度與特徵部之底部、側壁、或頂部上的沉積膜之平均厚度進行比較而計算階梯覆蓋率。例如,可透過以下方式而計算階梯覆蓋率:將側壁上的沉積膜之平均厚度除以特徵部底部處的沉積膜之平均厚度並乘以100俾獲得百分比。
與化學氣相沉積(CVD)技術不同,ALD處理利用表面介導沉積反應以逐層地沉積薄膜。在ALD處理之一範例中,使基板表面(包括大量表面活性部位)暴露於一給劑中之氣相分布的第一前驅物(例如含矽前驅物),該給劑被提供至容置基板的處理腔室。此第一前驅物之分子被吸附於基板表面上,包括該第一前驅物的化學吸附物種及/或物理吸附分子。應理解,當化合物如本文所述地吸附於基板表面上時,吸附層可包含該化合物及該化合物的衍生物。例如,含矽前驅物的吸附層可包含該含矽前驅物及該含矽前驅物的衍生物。在某些實施例中,ALD前驅物之給劑使基板表面部分飽和。在某些實施例中,ALD循環的給劑階段在前驅物接觸基板以均勻地使表面飽和之前結束。通常,在此時將前驅物流動關閉或使其轉向,並且僅有沖洗氣體流動。透過在此亞飽和(sub‑saturation)狀態下操作,ALD處理減少循環時間並增加產能。然而,由於前驅物吸附不受飽和度限制,因此吸附的前驅物之濃度在整個基板表面上可能略有變化。在該亞飽和狀態下操作的ALD處理之範例係在以下文獻中提供:2013年10月23日提交的案名為「SUB‑SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」之美國專利申請案第14/061,587號,在此將其全文引入以供參照。在第一前驅物給劑之後,接著將反應器排空以去除殘留於氣相中的任何第一前驅物,因此僅保留經吸附之物種。將第二反應物(例如含氧或含氮氣體)導入至反應器,俾使該等分子中的一些分子與吸附於表面上的第一前驅物進行反應。在某些處理中,第二前驅物立即與經吸附之第一前驅物進行反應。在其他實施例中,第二前驅物僅在短暫地施加活化源之後進行反應。接著可再次將反應器排空以去除未結合的第二前驅物分子。可利用額外的ALD循環以建立薄膜厚度。
在某些實施例中,ALD方法包含電漿活化,例如在第二反應物被輸送至腔室時。如本文所述,本文所述之ALD方法及設備可為保形薄膜沉積(CFD)方法,其係在以下文獻中概括地描述:2011年4月11日提交的案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084,399號(現為美國專利第8,728,956號)、以及2011年4月11日提交的案名為「SILICON NITRIDE FILMS AND METHODS」之美國專利申請案第13/084,305號,在此將其全文引入以供參照。ALD處理之其他範例係在97 J. Applied Physics 12301 (2005)中之Puurunen所著的「Surface chemistry of atomic layer deposition: for the trimethylaluminum/water process」中描述,在此為了提供合適ALD處理之說明而將其引入以供參照。
在某些實施例中,可使載氣(例如N2 、Ar、Ne、He、及其組合)連續地流動。載氣可用作一沖洗氣體。可提供惰性氣體以輔助處理腔室的壓力及/或溫度控制、液體反應物之蒸發、反應物的更快速輸送及/或作為掃掠氣體以將處理氣體從處理腔室及/或處理腔室管路中移除。該等氣體流動不同於間歇調節性反應腔室沖洗。
可執行吸附及第二反應物輸送操作之範例。在ALD循環之吸附操作中,可使基板暴露於一薄膜前驅物(例如四氯化矽(SiCl4 )或胺基矽烷),以吸附於基板表面上。在某些實施例中,薄膜前驅物可為含矽前驅物。在某些實施例中,可使薄膜前驅物(例如SiCl4 )吸附於約60%的基板表面上。在許多實施例中,當使薄膜前驅物流至腔室時,薄膜前驅物吸附於基板表面上的活性部位上,從而在表面上形成薄膜前驅物之薄層。在許多實施例中,該層可小於一單層。
在吸附之後,可選用性地將腔室沖洗,以去除未吸附於基板表面上的氣相中之過量前驅物。沖洗操作可涉及一掃掠氣體,其可為在其他操作中所使用的載氣或不同氣體。在某些實施例中,沖洗操作可涉及將腔室排空。
在ALD循環之第二反應物輸送操作中,使基板暴露於第二反應物及選用性的電漿。在許多實施例中,第二反應物為氧(O2 )或氮(N2 )或其組合。在沉積矽氧化物層之某些實施例中,使用氧作為第二反應物。在某些實施例中,將第二反應物流動及電漿兩者皆打開。在某些實施例中,可在打開電漿之前第二反應物流動將第二反應物流動打開,以例如使第二反應物流動得以穩定。
在某些實施例中,選用性的電漿為一原位電漿,因此電漿係直接在腔室中之基板表面上方形成。在許多實施例中,電漿可為感應耦合式電漿或電容耦合式電漿。可將感應耦合式電漿設定為介於約50W至約2000W之間的電漿。在某些實施例中,可施加介於約0V至約500V之間的偏壓。在第二反應物之輸送期間,將薄膜前驅物(例如SiCl4 )關閉。可使基板暴露於第二反應物及選用性的電漿達一持續時間,該持續時間超過電漿與基板表面上所吸附之所有前驅物相互作用的時間,從而在基板表面上形成一連續膜。
在第二反應物輸送操作之後,可將腔室沖洗,例如透過導入載氣或惰性氣體。此操作的條件可為以上針對沖洗處理所描述之任何條件。
在許多實施例中,可重複進行ALD循環。例如,可執行ALD之操作達約5至約70個循環。可包含任何適當數量的沉積循環以沉積期望膜厚的沉積薄膜。在某些實施例中,ALD循環每個循環可沉積約1Å。取決於操作之暴露時間,每一循環可沉積具有介於約0.05Å至約5Å之間之厚度的薄膜(例如氧化矽或氮氧化矽薄膜)。在某些實施例中,每分鐘可執行約兩個至約三個循環的ALD。在某些實施例中,例如在入口被設置於較靠近於基板的腔室中,每分鐘可執行多於約三個循環。設備
圖7描繪具有處理腔室702之原子層沉積(ALD)處理站700(例如可從蘭姆研究公司購得,如Striker ALD工具)之實施例的示意圖。處理站700可用於執行某些揭示實施例。例如,雖然處理站700可能通常用以藉由原子層沉積(ALD)而在基板上沉積薄膜,但處理站700可用於某些配置中以例如實施原子層蝕刻(ALE)或原子層清潔(ALC),俾各別地對圖案化架構中的含碳材料進行蝕刻或清潔。在一些實施例中,處理站700可用於ALE、ALC、及ALD,或者在一些實施例中,多站工具中的若干處理站可包含用於ALE或ALC的站、及用於ALD的站,俾使基板可在不破壞真空之情況下於ALC站與ALD站之間傳送。
處理腔室702可用於維持低壓處理。在共同的低壓處理工具環境中可包含複數處理站。例如,圖8描繪多站處理工具800之實施例。在一些實施例中,處理站700之一或更多硬體參數(包含以下所詳細討論者)、及/或由處理腔室702所實施之處理可係藉由一或更多電腦控制器750而以編程方式調整。
處理站700與反應物輸送系統701a流體連通,該輸送系統701a係用以將處理氣體輸送至分佈噴淋頭706。反應物輸送系統701a包含用以混合及/或調節處理氣體(例如含氧氣體、或惰性氣體)的混合容器704,以用於輸送至噴淋頭706。一或更多混合容器入口閥720可控制處理氣體導入至混合容器704。
舉例而言,圖7之實施例包含用以汽化液體反應物的汽化點703,該反應物係欲供應至混合容器704。在一些實施例中,可提供沉積化學品以作為經汽化的液體反應物。在處理腔室702中執行ALE或ALC之後,可使用沉積化學品以形成圖案化的含碳材料,俾使保形薄膜可透過ALD而沉積於圖案化的含碳材料上。在一些實施例中,汽化點703可為經加熱之汽化器。自此汽化器產生的飽和反應物蒸氣可能於下游輸送管線中凝結。不可共存之氣體暴露於經凝結之反應物可能產生小粒子。該等小粒子可能阻塞管線、阻礙閥的操作、汙染基板等。用以解決該等問題的一些方法包含清除及/或排空輸送管線,俾移除殘留的反應物。然而,清除輸送管線可能提高處理站循環時間,其使產能降低。因此,在一些實施例中,汽化點703下游之輸送管線可為伴熱的(heat traced)。在一些實施例中,混合容器704亦可為伴熱的。在一非限制之範例中,汽化點703下游之管線具有遞增之溫度輪廓,其由約100°C延伸至混合容器704處的約150°C。
在一些實施例中,可於液體注入器(未圖示於圖7中)處將液體前驅物或液體反應物汽化。例如,液體注入器可將液體反應物之脈衝注入混合容器704上游的載體氣體流。在一實施例中,液體注入器可藉由自高壓至低壓急速汽化液體而使反應物汽化。在另一範例中,液體注入器可將液體霧化為分散的微滴,隨後該等微滴在經加熱之輸送管線中汽化。較小的液滴相比於較大的液滴而可較快地汽化,其使得液體注入與完全汽化之間的延遲減少。較快之汽化可使汽化點703下游之管線長度減小。在一情形中,可將液體注入器直接裝設於混合容器704。在另一情形中,可將液體注入器直接裝設於噴淋頭706。
在一些實施例中,可提供汽化點703上游之液體流動控制器(LFC),以控制用於汽化與輸送至處理腔室702之液體的質量流量。例如,LFC可包含位在LFC之下游的熱質量流量計(MFM)。可接著響應回饋控制信號而調整LFC之柱塞閥,該等回饋控制信號係由與MFM電氣通訊的比例-積分-微分(PID)控制器所提供。然而,其可能耗費一秒以上俾利用回饋控制使液體流動穩定。此可能延長液體反應物的給劑時間。因此,在一些實施例中,LFC可於回饋控制模式與直接控制模式之間動態切換。在一些實施例中,此可藉由使LFC及PID控制器的感測管失效而執行。
噴淋頭706將處理氣體分佈至基板712。在圖7所示的實施例中,基板712係位在噴淋頭706下方、且係顯示坐落於夾頭或底座708上。可將噴淋頭706定位於350密耳(0.35 in.)至700密耳(0.7 in.)之間的距離處,以達到由噴淋頭706朝向基板712提供(或散佈)的離子方向性之期望程度。在一些實施例中,噴淋頭706與底座708之間較低的、或較小的間隙可被採用,俾保持從噴淋頭706所散佈之離子的方向性。然而,在低壓條件下(例如低於10 mT、或0.01 Torr),可能需要較高的、或較大的間隙以達成來自噴淋頭706之離子化電漿的穩定散佈。在一些實施例中,腔室可包含複數夾頭或底座。噴淋頭706可具有任何合適外形、且可具有任何合適數目及通口之配置,用以將處理氣體分佈至基板712。
在一些實施例中,可將底座708升高或降低以使基板712暴露於基板712與噴淋頭706之間的容積。在一些實施例中,底座708可經由加熱器710而加以溫度控制。在執行各種所揭示實施例之操作期間,可將底座708設定至任何適當溫度,如介於約25°C至約650°C、或介於約35°C至約100°C。應理解,在一些實施例中,可藉由合適的電腦控制器750而以編程方式調整底座高度。
在另一情形中,在某些揭示實施例中所執行的電漿活化期間,調整底座708之高度可使得電漿密度改變。例如,可在惰性氣體經由噴淋頭706而流至基板712時點燃電漿,以在核心材料暴露於含氧氣體之後將經改質之核心材料移除。在處理階段結束後,可於另一基板傳送階段期間將底座708降低,以容許基板712自底座708移除。
在一些實施例中,可相對於底座708而調整噴淋頭706的位置,以改變基板712與噴淋頭706之間的容積。再者,應理解,可藉由本揭示內容之範疇內的任何合適機構而改變底座708及/或噴淋頭706之垂直位置。在一些實施例中,底座708可包含旋轉軸,用以轉動基板712的方向。應理解,在一些實施例中,可藉由一或更多合適的電腦控制器750而以編程方式執行該等範例調整之其中一或更多者。
在許多實施例中,控制器750可經編程以結合沉積操作而實施間歇調節性(批量中間)沖洗,包括對處理進行監視、包括監測晶圓上的粒子量測、以及執行在一設定數量之晶圓或一固定累積限度之後進行沖洗的指令。控制器750可包含一或更多記憶裝置、一或更多大量儲存裝置、及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。電腦控制器750可包含以下針對圖7之控制器750或圖8之控制器850而描述的任何特徵。
在如上所討論之使用電漿的一些實施例中,噴淋頭706和底座708與射頻(RF)電源供應器714和匹配網路716電氣通訊,用以為電漿提供能量。在一些實施例中,可藉由控制下列中一或更多者而控制電漿能量:處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時序。例如,可於任何適當功率下操作RF電源供應器714和匹配網路716,以形成具有所期望之自由基物種成分的電漿。同樣地,RF電源供應器714可提供任何適當頻率的RF功率。在一些實施例中,RF電源供應器714可係配置以各自獨立地控制高與低頻RF功率源。範例低頻RF頻率可包含(但不限於)介於0 kHz與500 kHz之間的頻率。範例高頻RF頻率可包含(但不限於)介於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。應理解,可離散地或連續地調制任何適當參數,以針對表面反應提供電漿能量。
在一些實施例中,可藉由一或更多電漿監視器以原位地監視電漿。在一情形中,可藉由一或更多電壓、電流感測器(例如,VI探針)以監視電漿功率。在另一情形中,可藉由一或更多光放射光譜儀感測器(OES)以量測電漿密度及/或處理氣體濃度。在一些實施例中,可基於來自該原位電漿監視器之量測,而以編程方式調整一或更多電漿參數。例如,用於提供電漿功率之編程控制的回饋迴路中可使用OES感測器。在一些實施例中,使用某些揭示實施例,OES感測器可用於設定一終點以在一定量的時間之後停止蝕刻。應理解,在一些實施例中,其他監視器可用以監視電漿及其他處理特性。如此之監視器可包含(但不限於)紅外線(IR)監視器、聲響監視器、及壓力轉換器。
在一些實施例中,可經由輸入/輸出控制(IOC)序列指令而提供對於控制器750的指令。在一範例中,用於為處理階段設定條件的指令可係包含於處理配方的相應配方階段中。在一些情況下,可依序配置處理配方階段,因此針對一處理階段的所有指令係與該處理階段同時執行。在一些實施例中,配方階段中可包含用以設定一或更多反應器參數的指令。例如,第一配方階段可包含:針對第一配方階段設定惰性及/或反應物氣體(例如,含氧氣體)之流動速率的指令、設定載體氣體(例如氬)之流動速率的指令、以及時延指令。接續的第二配方階段可包含:針對第二配方階段調制或中止惰性及/或反應物氣體之流動速率的指令、以及調制載體或沖洗氣體之流動速率的指令、以及時延指令。第三配方階段可包含:針對第三配方階段調制第二氣體(例如氬)之流動速率的指令、調制載體或沖洗氣體之流動速率的指令、針對四站處理工具而在介於約250W至約750W之間的低電漿功率下點燃電漿的指令、以及時延指令。接續的第四配方階段可包含:針對第四配方階段調制或中止惰性及/或反應物氣體之流動速率的指令、以及調制載體或沖洗氣體之流動速率的指令、以及時延指令。此類配方可用於蝕刻基板上的含碳材料(如核心材料),以產生在約90°±5°處接觸待蝕刻之底層表面的垂直側壁。額外的配方亦可接續於後,並可用以透過ALD而將保形薄膜沉積於圖案化的核心材料上。例如,針對將矽氧化物保形薄膜沉積於圖案化的核心材料上,一額外配方階段可包含設定含矽前驅物之流動速率的指令,而另一額外配方階段可包含:針對額外配方階段設定含氧反應物之流動速率的指令、以及時延指令。應理解,可以本揭示內容之範疇內的任何適當方式將該等配方階段進一步細分及/或反覆進行。
此外,在一些實施例中,可透過蝶形閥718而提供處理站700之壓力控制。如圖7之實施例中所示,蝶形閥718對下游真空泵浦(未圖示於圖7中)所提供之真空進行節流。然而,在一些實施例中,亦可透過使導入處理站700之一或更多氣體的流動速率改變,而對處理站700之壓力控制進行調整。
如上所述,多站處理工具中可包含一或更多處理站。圖8顯示具有入站負載閘802及出站負載閘804的多站處理工具800之實施例的示意圖,入站負載閘802及出站負載閘804之任一或兩者可包含遠程電漿源(未圖示於圖8中)。於大氣壓力下,將機械臂806配置成經由大氣埠810將晶圓從由晶圓傳送盒808所裝載的晶舟盒移動進到入站負載閘802。由機械臂806將晶圓(未圖示於圖8中)放置於入站負載閘802中的底座812上,關閉大氣埠810,並且將入站負載閘802抽空。在入站負載閘802包含遠程電漿源的情況下,可在晶圓被導入至處理腔室814之前,使晶圓在入站負載閘802中暴露於遠程電漿處理。再者,亦可在入站負載閘802中加熱晶圓,例如,俾移除濕氣與所吸附之氣體。接著,開啟通往處理腔室814的腔室輸送埠816,且另一機械臂(未顯示)將晶圓放置進入反應器、於反應器中所示的第一站之底座上以用於處理。雖然圖8所描繪之實施例包含負載閘,但應理解,在一些實施例中,可提供晶圓進入處理站的直接入口。
圖8所示之實施例中,所描繪之處理腔室814包含四個處理站,編號為1至4。各站具有經加熱之底座(顯示於站1之818)、以及氣體管線入口。應理解,在一些實施例中,各處理站可具有不同或多種用途。例如,在一些實施例中,處理站為可於ALC、ALD與電漿輔助ALD處理模式之間切換的。在一些實施例中,暴露於沉積前驅物、及暴露於第二反應物與電漿係在相同站中執行。替代性地或更甚者,在一些實施例中,處理腔室814可包含一或多對匹配的ALD與電漿輔助ALD處理站。雖然所描繪之處理腔室814包含四個站,但應理解,依據本揭示內容的處理腔室可具有任何適當的站數。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中處理腔室可具有三或更少站。
圖8描繪處理腔室814內用以傳送晶圓的晶圓搬運系統890之實施例。在一些實施例中,晶圓搬運系統890可於各種處理站間及/或於處理站與負載閘之間傳送晶圓。應理解,可採用任何合適的晶圓搬運系統。非限制之範例包含晶圓轉盤及晶圓搬運機械臂。圖8亦描繪系統控制器850之實施例,該系統控制器850係用以控制處理工具800的處理條件及硬體狀態。系統控制器850可包含一或更多記憶裝置856、一或更多大量儲存裝置854、以及一或更多處理器852。處理器852可包含CPU或電腦、類比、及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器850控制處理工具800的所有行動。系統控制器850執行系統控制軟體858,該系統控制軟體858係儲存於大量儲存裝置854中、載入至記憶裝置856、並於處理器852上執行。或者,可於控制器850中將控制邏輯硬碼化。可為該等目的而使用特殊應用積體電路、可程式化邏輯裝置(例如,現場可程式化閘陣列、或FPGAs)等。在以下的討論中,每當使用「軟體」或「碼」,則該處可使用功能相當的硬碼化邏輯。系統控制軟體858可包含下列指令:控制時序、氣體之混合、氣體流動速率、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、標的功率位準、RF功率位準、基板底座、夾頭及/或晶座之位置、以及由處理工具800所執行的特定處理之其他參數。系統控制軟體858可以任何適當方式配置。例如,可寫入各種處理工具元件之子程式或控制物件,以控制處理工具元件的操作,該等處理工具元件係用以執行各種處理工具的處理。可以任何合適的電腦可讀取程式語言為系統控制軟體858編碼。
在一些實施例中,系統控制軟體858可包含輸入/輸出控制(IOC)序列指令,用以控制上述的各種參數。在一些實施例中,可採用儲存於與系統控制器850相關的大量儲存裝置854及/或記憶裝置856上的其他電腦軟體及/或程式。為此用途的程式或程式之部分的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該等處理工具元件係用以將基板裝載於底座818上、以及用以控制介於基板與處理工具800的其他部件之間的間距。
處理氣體控制程式可包含程式碼,用以控制氣體成分(例如,本文所述之含矽氣體、含氧氣體、及沖洗氣體)及流動速率及可選擇地用以在沉積之前將氣體流入一或更多處理站,俾穩定處理站中的壓力。壓力控制程式可包含程式碼,用以藉由調整例如處理站之排放系統中的節流閥、流入處理站之氣流等,俾控制處理站內的壓力。
加熱器控制程式可包含程式碼,用以控制用於加熱基板之加熱單元的電流。或者,加熱器控制程式可控制熱傳氣體(例如氦氣)輸送至基板。
電漿控制程式可包含程式碼,用以設定施加至處理電極的RF功率位準,該等處理電極係在依據本文實施例之一或更多處理站之中。
壓力控制程式可包含程式碼,用以維持依據本文實施例之反應腔室中的壓力。
在一些實施例中,可能存在與系統控制器850相關的使用者介面。該使用者介面可包含顯示螢幕、設備及/或處理站的圖形軟體顯示、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,經由系統控制器850調整的參數可係關於處理條件。非限制之範例包含處理氣體成分及流動速率、溫度、壓力、電漿狀態(例如RF偏壓功率位準)等。可將該等參數以配方之形式提供予使用者,可利用使用者介面將配方輸入。
可經由來自各種處理工具感測器的系統控制器850之類比及/或數位輸入連接而提供監視該處理的信號。可將控制該處理的信號輸出於處理工具800之類比及數位輸出連接上。可受監視之處理工具感測器的非限制範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等。可將適當編程的回饋與控制演算法與來自該等感測器的資料一同使用,俾維持處理條件。
系統控制器850可提供程式指令,用以實行上述之沉積處理。該等程式指令可控制各種的製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數以依據本文所述之各種實施例而操作薄膜堆疊體的原位沉積。
系統控制器850通常會包含一或更多記憶裝置及一或更多處理器,其係配置以執行指令,因此設備會依據所揭示實施例而執行方法。用以控制依據所揭示實施例之處理操作的含機器可讀媒體指令可被連接至系統控制器850。
在一些實施例中,系統控制器850為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之條件及/或系統之類型,可將系統控制器850程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻(RF)匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載閘之晶圓傳送。
廣泛而言,系統控制器850指涉具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器850的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,用以在晶圓之一或更多的薄膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在一些實施中,系統控制器850可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,系統控制器850係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器850接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(系統控制器850係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器850可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
本文所述之控制器可具有用於執行本文所述之任何和所有例示性處理及技術的程式指令。例如,設備可具有用於進行以下操作的指令:在反應腔室中之一批量沉積晶圓的第一部分上進行沉積、如本文所述地進行間歇調節性反應腔室沖洗、以及在間歇調節性反應腔室沖洗之後在反應腔室中之該批量沉積晶圓的另一部分上進行沉積。此亦可包含用於執行上述圖3A及3B中之程序之所有操作的指令。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層清潔(ALC)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,系統控制器850可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
用於執行本文所揭示方法的適當設備係在下列的美國專利申請案中進一步討論及敘述:2011年04月11日提交的案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利申請案第13/084,399號(現為美國專利第8,728,956號);以及2011年04月11日提交的案名為「SILICON NITRIDE FILMS AND METHODS」的美國專利申請案第13/084,305號,在此將上述各者之全文引入。
本文所述設備/處理可與例如用於製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具/處理會在一共同的製造廠房中一起使用或進行。薄膜之微影圖案化通常包括下列操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 在工作件(亦即,基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或UV固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方薄膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。結論
雖然前述之實施例已針對清楚理解之目的而詳細地加以描述,但吾人將明白,某些改變與修改可在隨附之申請專利範圍的範疇內實施。應注意,有許多替代方式執行本發明之處理、系統、及設備。據此,本發明應考量成說明性而非限制性,且該等實施例不應受限於本文中所提供之細節。
提供以下示例申請專利範圍以進一步說明本發明的某些實施例。本發明未必受限於該等實施例。
100:腔室 102:腔室壁 104:基板支座 106:基板 108:噴淋頭 110:軸環 112:入口 114:排氣出口 115:沉積材料 182a:圖 182b:圖 182c:圖 182d:圖 182e:圖 200:反應腔室 202:腔室壁 203A:頂部 203B:底部 204:基板支座 206:基板 208:噴淋頭 210:軸環 212:第一入口 214:排氣埠 215:陰影圓 220:氣體輸送系統 222:陶瓷T形管(CT) 224:歧管 226A:流體管線 226B:流體管線 226C:流體管線 228:遠程電漿清潔(RPC)模組 228A:流體管線 228B:流體管線 300:程序 302:操作 304:操作 306:操作 308:操作 310:操作 350:程序 352:操作 354:操作 356:操作 358:操作 360:操作 362:操作 364:操作 370:程序 372:操作 374:操作 376:操作 378:操作 380:操作 382:操作 388:操作 390:操作 700:處理站 701a:反應物輸送系統 702:處理腔室 703:汽化點 704:混合容器 706:噴淋頭 708:底座 710:加熱器 712:基板 713:安瓿盒 714:RF電源供應器 716:匹配網路 718:蝶形閥 720:混合容器入口閥 750:控制器 800:處理工具 802:入站負載閘 804:出站負載閘 806:機械臂 808:晶圓傳送盒 810:大氣埠 812:底座 814:處理腔室 816:腔室輸送埠 818:底座 850:控制器 852:處理器 854:大量儲存裝置 856:記憶裝置 858:系統控制軟體 890:晶圓搬運系統
依據揭示實施例,圖1顯示用於處理基板之反應腔室或設備的簡化圖。
依據揭示實施例,圖2顯示用於處理基板(例如半導體晶圓)之設備之反應腔室上游的元件之簡化圖。
依據揭示實施例,圖3A為繪示一方法之操作的例示性程序流程圖。
依據揭示實施例,圖3B為繪示一方法之操作的另一例示性程序流程圖。
依據揭示實施例,圖3C顯示在批量半導體晶圓之處理期間用於實施間歇調節性反應腔室沖洗的另一例示性實施例程序流程。
圖4為顯示依據揭示實施例的方法及設備的缺陷生成減少情況之數據圖。
依據揭示實施例,圖5為呈現用於間歇調節性沖洗方法及設備的示例操作條件之例示性圖表。
依據揭示實施例,圖6為原子層沉積(ALD)之原理的示意圖。
圖7為用於執行某些揭示實施例之範例處理工具的示意圖。
圖8為用於執行某些揭示實施例之另一範例處理工具的示意圖。
圖9A-9C為顯示依據揭示實施例的方法及設備的缺陷生成減少情況之額外數據圖。
200:反應腔室
202:腔室壁
203A:頂部
203B:底部
204:基板支座
206:基板
208:噴淋頭
210:軸環
212:第一入口
214:排氣埠
215:陰影圓
220:氣體輸送系統
222:陶瓷T形管(CT)
224:歧管
226A:流體管線
226B:流體管線
226C:流體管線
228:遠程電漿清潔(RPC)模組
228A:流體管線
228B:流體管線

Claims (26)

  1. 一種在處理設備中處理沉積基板之方法,該方法包含: 在一反應腔室中於一批量的沉積晶圓的第一部分上進行沉積; 在到達累積基線之前進行間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及 在該間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。
  2. 如請求項1之在處理設備中處理沉積基板之方法,更包含:在該反應腔室中於該批量的沉積晶圓的該第一部分上進行沉積之後,將晶圓從該反應腔室中移除,其中在該間歇調節性反應腔室沖洗期間沒有晶圓位在該反應腔室內。
  3. 如請求項1之在處理設備中處理沉積基板之方法,其中進行該間歇調節性反應腔室沖洗之步驟係基於該批量的該第一部分期間沉積材料的總累積而執行。
  4. 如請求項3之在處理設備中處理沉積基板之方法,其中: 進行該間歇調節性反應腔室沖洗之步驟係在該反應腔室中之沉積材料的總累積到達一累積閾值時執行,並且 該累積閾值小於該累積基線。
  5. 如請求項4之在處理設備中處理沉積基板之方法,更包含判定是否該反應腔室中之沉積材料的總累積已到達該累積閾值,其中,響應於判定該反應腔室中之沉積材料的總累積已到達該累積閾值,而執行進行該間歇調節性反應腔室沖洗之步驟。
  6. 如請求項3之在處理設備中處理沉積基板之方法,其中該反應腔室中之沉積材料的總累積係基於在該批量的該第一部分中經處理之晶圓的計數。
  7. 如請求項1之在處理設備中處理沉積基板之方法,其中進行該間歇調節性反應腔室沖洗之步驟係基於以下者而執行:該批量的晶圓的該第一部分中於該反應腔室內受處理之晶圓的計數。
  8. 如請求項7之在處理設備中處理沉積基板之方法,其中: 該批量的晶圓的該第一部分包含一晶圓數量, 進行該間歇調節性反應腔室沖洗之步驟係在該批量的晶圓的該第一部分期間所處理之晶圓的計數到達該晶圓數量時執行, 當已在反應腔室中處理第二計數的晶圓時到達該累積基線,並且 該計數少於該第二計數。
  9. 如請求項8之在處理設備中處理沉積基板之方法,更包含判定是否在該批量的晶圓的該第一部分期間所處理之晶圓的計數已到達該晶圓數量,其中,響應於判定在該批量的晶圓的該第一部分期間所處理之晶圓的計數已到達該晶圓數量,而執行進行該間歇調節性反應腔室沖洗之步驟。
  10. 如請求項1之在處理設備中處理沉積基板之方法,更包含: 在到達累積基線之前,在進行該批量的沉積晶圓之另一部分的沉積處理之後,進行第二間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及 在該第二間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的第三部分上進行沉積。
  11. 如請求項1之在處理設備中處理沉積基板之方法,其中該累積基線係基於該批量之該第一部分中之基板的計數。
  12. 如請求項1之在處理設備中處理沉積基板之方法,其中該累積基線係基於該批量的該第一部分期間沉積材料的總累積。
  13. 如請求項1之在處理設備中處理沉積基板之方法,更包含在到達該累積基線之後對該反應腔室的內部表面進行清潔。
  14. 如請求項1之在處理設備中處理沉積基板之方法,更包含:在該反應腔室中進行該批量的沉積基板的該第一部分之沉積處理之後,將晶圓從該反應腔室中移除,其中在該間歇調節性反應腔室沖洗期間沒有晶圓位在該反應腔室內。
  15. 如請求項1之在處理設備中處理沉積基板之方法,其中進行該間歇調節性反應腔室沖洗之步驟包含:使沖洗氣體流過與該反應腔室流體連接的氣體輸送系統之一或更多元件。
  16. 如請求項15之在處理設備中處理沉積基板之方法,其中該氣體輸送系統之該等元件包含下列其中之一或多者:噴淋頭、軸環、氣體管線、閥、歧管、陶瓷T形管、及/或遠程電漿控制模組。
  17. 如請求項1之在處理設備中處理沉積基板之方法,其中進行該間歇調節性反應腔室沖洗之步驟包含使沖洗氣體在二或更多流率下流入該反應腔室。
  18. 如請求項1之在處理設備中處理沉積基板之方法,其中進行該間歇調節性反應腔室沖洗之步驟包含使沖洗氣體在一恆定流率下流入該反應腔室。
  19. 如請求項1之在處理設備中處理沉積基板之方法,其中進行該間歇調節性反應腔室沖洗之步驟包含使沖洗氣體流入該反應腔室達至少1分鐘。
  20. 如請求項1之在處理設備中處理沉積基板之方法,更包含:在該間歇調節性反應腔室沖洗之至少一部分期間,施加RF功率至該反應腔室以在該反應腔室內產生電漿。
  21. 如請求項20之在處理設備中處理沉積基板之方法,其中在施加RF功率至該反應腔室的期間使所施加之RF的功率減小。
  22. 如請求項21之在處理設備中處理沉積基板之方法,其中在施加RF功率至該反應腔室的期間使該反應腔室的壓力減小。
  23. 一種用於處理基板的電漿處理設備,該電漿處理設備包含: 一反應腔室,包含: 內部腔室表面, 一基板支座,用以在該反應腔室內支撐一基板, 一噴淋頭及一或更多入口,用以將電漿及/或處理氣體提供至該腔室,以及 一排放埠,用以將材料從該反應腔室中移除; 一氣體輸送系統,其係與該一或更多入口流體連接;以及 一控制器,其係配置以執行用於下列操作的指令: 在反應腔室中於一批量的沉積晶圓的第一部分上進行沉積, 在到達累積基線之前使該氣體輸送系統進行間歇調節性反應腔室沖洗,以將因晶圓處理而產生的缺陷從該反應腔室中移除;以及 在該間歇調節性反應腔室沖洗之後,在該反應腔室中於該批量的沉積晶圓的另一部分上進行沉積。
  24. 如請求項23之用於處理基板的電漿處理設備,其中該控制器係進一步配置以執行用於以下操作的指令: 在到達該累積基線之後引致該反應腔室的內部表面之清潔處理。
  25. 如請求項23之用於處理基板的電漿處理設備,更包含一機器人,其係配置以將沉積基板從該反應腔室中移除,其中該控制器係進一步配置以執行用於以下操作的指令: 在進行批量中間反應腔室沖洗之前使該機器人將沉積基板從該反應腔室中移除。
  26. 如請求項23之用於處理基板的電漿處理設備,其中: 使該氣體輸送系統進行該間歇調節性反應腔室沖洗之操作進一步致使沖洗氣體流過該氣體輸送系統之一或更多元件,並且 該氣體輸送系統之該等元件包含下列其中之一或多者:噴淋頭、軸環、氣體管線、閥、歧管、陶瓷T形管、及/或遠程電漿控制模組。
TW108143327A 2018-11-30 2019-11-28 利用間歇調節性沖洗的處理量改善 TW202043529A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862773998P 2018-11-30 2018-11-30
US62/773,998 2018-11-30

Publications (1)

Publication Number Publication Date
TW202043529A true TW202043529A (zh) 2020-12-01

Family

ID=70853131

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108143327A TW202043529A (zh) 2018-11-30 2019-11-28 利用間歇調節性沖洗的處理量改善

Country Status (7)

Country Link
US (1) US20210395885A1 (zh)
JP (1) JP2022510862A (zh)
KR (1) KR20210087545A (zh)
CN (1) CN113166935A (zh)
SG (1) SG11202105127TA (zh)
TW (1) TW202043529A (zh)
WO (1) WO2020112923A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI763129B (zh) * 2019-12-16 2022-05-01 大陸商中微半導體設備(上海)股份有限公司 抽氣裝置、抽氣方法以及多腔電漿處理器

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230105279A1 (en) * 2021-10-05 2023-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BR9812701A (pt) * 1997-09-30 2000-08-22 Tetra Laval Holdings & Finance Método e aparelho para o tratamento da superfìcie interna de garrafas plásticas em um processo intensificado por plasma
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
WO2004095502A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
KR20050093187A (ko) * 2004-03-18 2005-09-23 삼성전자주식회사 원자층 증착장치 및 그의 세정방법
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US20090017637A1 (en) * 2007-07-10 2009-01-15 Yi-Chiau Huang Method and apparatus for batch processing in a vertical reactor
US20110237051A1 (en) * 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
GB201207716D0 (en) * 2012-05-02 2012-06-13 Compactgtl Plc Catalyst treatment process
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI763129B (zh) * 2019-12-16 2022-05-01 大陸商中微半導體設備(上海)股份有限公司 抽氣裝置、抽氣方法以及多腔電漿處理器

Also Published As

Publication number Publication date
JP2022510862A (ja) 2022-01-28
SG11202105127TA (en) 2021-06-29
KR20210087545A (ko) 2021-07-12
CN113166935A (zh) 2021-07-23
US20210395885A1 (en) 2021-12-23
WO2020112923A1 (en) 2020-06-04

Similar Documents

Publication Publication Date Title
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
US10903071B2 (en) Selective deposition of silicon oxide
CN108847386B (zh) 用于图案化应用的原子层蚀刻、反应性前体和能量源
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
TW202043529A (zh) 利用間歇調節性沖洗的處理量改善
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
TWI794318B (zh) 增加反應器處理批量大小的方法和設備
US20230066676A1 (en) Core removal