KR102612832B1 - 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 - Google Patents

플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 Download PDF

Info

Publication number
KR102612832B1
KR102612832B1 KR1020230004652A KR20230004652A KR102612832B1 KR 102612832 B1 KR102612832 B1 KR 102612832B1 KR 1020230004652 A KR1020230004652 A KR 1020230004652A KR 20230004652 A KR20230004652 A KR 20230004652A KR 102612832 B1 KR102612832 B1 KR 102612832B1
Authority
KR
South Korea
Prior art keywords
substrates
power
batch
film
depositing
Prior art date
Application number
KR1020230004652A
Other languages
English (en)
Other versions
KR20230010807A (ko
Inventor
준 첸
프랭크 엘. 파스콸레
애드리언 라보이
끌로에 발다세로니
강후
샹카 스와미나단
프루쇼탐 쿠마
폴 프랜즌
쭝 티. 레
뚜안 응엔
제니퍼 엘. 페트라글리아
데이비드 찰스 스미스
세샤세이 바라다라잔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230010807A publication Critical patent/KR20230010807A/ko
Application granted granted Critical
Publication of KR102612832B1 publication Critical patent/KR102612832B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 명세서의 실시예들은 기판들 상에 막을 증착하기 위한 방법들, 장치, 및 시스템들에 관한 것이다. 이들 실시예들에서, 기판들은 배치들 (batch) 내에서 프로세싱된다. 배치 내에서 추가의 기판들이 프로세싱됨에 따라 반응 챔버 내의 변하는 조건들 때문에, 다양한 막 특성들이 배치의 과정 동안 경향을 띌 수 있다. 배치의 과정 동안 막 특성들의 경향을 최소화하기 위한 방법들 및 장치가 본 명세서에 개시된다. 보다 구체적으로, 막 특성 경향은 배치의 과정 동안 기판들을 프로세싱하도록 사용된 RF 전력의 양을 변경함으로써 최소화된다. 이러한 방법들은 때때로 RF 보상 방법들로서 지칭된다.

Description

플라즈마 보조 원자층 증착의 RF 보상을 위한 방법 및 장치{METHOD AND APPARATUS FOR RF COMPENSATION IN PLASMA ASSISTED ATOMIC LAYER DEPOSITION}
집적 회로들의 제작은 많은 다양한 프로세싱 단계들을 포함한다. 자주 채용되는 동작들 중 일 동작은 유전체 막의 증착이다. 막은 상대적으로 평평한 기판 상에 증착될 수도 있거나, 막은 실리콘 기판들 위 또는 내에 패터닝된 피처들 사이의 갭 내에 증착될 수도 있다. 이러한 막을 증착하는 일 방법은 PAALD (plasma assisted atomic layer deposition) 를 통해서이다. 이 타입의 방법에서, 몇몇의 동작들은 컨포멀한 (conformal) 막을 증착하도록 순환적 방식으로 착수된다. 통상적으로, PAALD 프로세스들은 (a) 제 1 반응물질의 도즈를 반응 챔버에 제공하는 단계, (b) 반응 챔버를 퍼징하는 단계, (c) 제 2 반응물질을 반응 챔버로 흘리는 단계, (d) 반응 챔버 내에서 플라즈마를 점화하는 단계, 및 (e) 플라즈마를 소화하고 반응 챔버를 퍼징하는 단계를 포함한다. 기판 표면 상으로의 전구체 전달/흡착의 특성의 결과로서, PAALD 프로세스의 단일 사이클은 통상적으로 대략 재료의 단층을 증착한다. 동작들은 목표된 막 두께에 도달하기 위해 추가의 단층들을 증착하도록 복수 회 반복될 수도 있다.
본 명세서의 특정한 실시예들은 반응 챔버 내에서 기판들의 배치 상에 막을 증착하기 위한 방법들 및 장치에 관한 것이다. 막은 플라즈마 보조 원자층 증착 프로세스들을 통해 증착될 수도 있다.
본 명세서의 실시예들의 일 양태에서, 반응 챔버 내에서 기판들의 배치 (batch) 상에 막을 증착하기 위한 방법이 제공된다. 방법은 배치의 기판 각각 상에 막을 증착하는 단계를 포함할 수도 있고, 기판 각각 상에 막을 증착하는 단계는 반응 챔버 내로 하나 이상의 반응물질들을 증기 형태로 흘리는 단계, 및 플라즈마를 생성하도록 RF 전력을 공급하고 기판 상에 막을 증착하는 반응을 구동하도록 플라즈마에 기판을 노출시키는 단계를 포함하고; 배치의 추가의 기판들이 프로세싱되는 동안 반응 챔버 내의 변화들이 확인되도록 (account for) 추가의 기판들이 프로세싱되는 동안, 플라즈마를 생성하도록 공급된 기판 당 RF 전력이 변화한다.
다양한 실시예들에서, 플라즈마를 생성하도록 공급된 RF 전력은, 배치의 기판들 상에 막을 증착하기 전에 수행된 캘리브레이션 (calibration) 절차에 기초하여 변화한다.
캘리브레이션 절차는: (a) 기판들의 테스트 배치 상에 막을 증착하고 테스트 배치의 기판 각각에 대해 발생한 막 두께를 기록하는 동작, (b) 다양한 레벨들의 RF 전력으로 제 2 세트의 기판들 상에 막을 증착하고 제 2 세트의 기판들의 기판 각각에 대해 발생한 막 두께를 기록하는 동작, (c) RF 전력과 동작 (b) 로부터의 발생한 막 두께들 사이의 관계를 결정하는 동작, (d) 테스트 배치의 기판들에 대한 유효 RF 전력을 결정하도록 동작 (c) 로부터의 관계 및 동작 (a) 로부터의 막 두께들을 사용하는 동작, 및 (e) 테스트 배치의 기판들에 대한 유효 RF 전력에 적어도 부분적으로 기초하여 하나 이상의 미래의 (future) 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함할 수도 있다. 동작 (e) 에서 하나 이상의 미래의 배치들은 방법이 수행되는 배치를 포함할 수도 있다.
일부 실시예들에서, 캘리브레이션 절차는: 동작 (a) 동안, 제 1 RF 전력으로 테스트 배치의 기판 각각 상에 막을 증착하는 동작, 동작 (e) 동안, 테스트 배치의 기판들에 대해 동작 (d) 로부터의 유효 RF 전력으로 제 1 RF 전력의 제곱을 나눔으로써 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 더 포함한다.
동작 (e) 는 동작 (a) 에서 테스트 배치의 기판들에 대한 기록된 챔버 축적에 적어도 부분적으로 기초하여 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함할 수도 있다. 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력은, 미래의 배치 동안 발생하는 챔버 축적에 적어도 부분적으로 기초할 수도 있다. 동작 (e) 는 특정된 RF 전력과 챔버 축적 사이의 수학적 관계를 도출하도록 통계 분석을 수행하는 동작을 더 포함할 수도 있고, 그리고 방법이 수행되는 배치의 기판들 상의 증착 동안 공급된 RF 전력을 제어하도록 수학적 관계를 사용하는 동작을 더 포함할 수도 있다. 일부 실시예들에서, 수학적 관계는 3차 다항식 관계를 포함한다. 특정한 구현예들에서, 배치 및 테스트 배치 각각은 적어도 약 100 개의 기판들을 포함한다. 다양한 실시예들에서, 동작 (c) 는 RF 전력과 동작 (b) 로부터의 발생한 막 두께들 사이의 선형 관계를 결정하도록 통계 분석을 수행하는 동작을 포함한다.
특정한 경우들에서, 배치는 적어도 약 100 개의 기판들을 포함할 수도 있고, 배치의 기판들 상에 증착된 막들은 약 1 % 이하만큼 상이한 두께들을 가질 수도 있다. 이들 또는 다른 경우들에서, 배치는 적어도 약 100 개의 기판들을 포함할 수도 있고, 배치의 기판들 상에 증착된 막들은 약 5 % 이하만큼 상이한 습식 에칭 레이트들을 가진다.
기판들은 일반적으로 배치의 프로세싱되고, 특정한 추가의 동작들이 배치 각각 동안 발생할 수도 있다. 예를 들어, 일부 경우들에서, 배치의 기판 각각 상에 막을 증착한 후, 방법은 반응 챔버의 내부 표면들 상에 축적된 재료를 제거하도록 반응 챔버를 세정하는 단계를 더 포함할 수도 있다. 또한, 반응 챔버를 세정한 후, 방법은 반응 챔버의 내부 표면들 상에 언더코팅 (undercoat) 을 증착하는 단계를 포함할 수도 있다. 사전-코팅 (pre-coat) 이 또한 증착될 수도 있다.
개시된 실시예들의 또 다른 양태에서, 배치의 기판들 상에 막을 증착하기 위한 장치가 제공되고, 장치는: 반응 챔버; 반응 챔버에 증기 상 반응물질들을 제공하기 위한 하나 이상의 유입부들; 기판 지지부; RF 전력을 사용하여 플라즈마를 생성하도록 구성된 RF 생성기; 및 배치의 추가의 기판들이 프로세싱되는 동안 발생하는 반응 챔버 내의 변화들이 확인되도록 배치의 추가의 기판들이 프로세싱되는 동안 RF 생성기에 의해 공급된 RF 전력을 변화시키기 위한 인스트럭션들을 포함하는 제어기를 포함한다.
다양한 실시예들에서, RF 전력을 변화시키기 위한 인스트럭션들은 캘리브레이션 절차에 기초한다. 제어기는 (a) 기판들의 테스트 배치 상에 막을 증착하고 테스트 배치의 기판 각각에 대해 발생한 막 두께를 기록하는 동작, (b) 다양한 레벨들의 RF 전력으로 제 2 세트의 기판들 상에 막을 증착하고 제 2 세트의 기판들의 기판 각각에 대해 발생한 막 두께를 기록하는 동작, (c) RF 전력과 동작 (b) 로부터의 발생한 막 두께들 사이의 관계를 결정하는 동작, (d) 테스트 배치의 기판들에 대한 유효 RF 전력을 결정하도록 동작 (c) 로부터의 관계 및 동작 (a) 로부터의 막 두께들을 사용하는 동작, 및 (e) 테스트 배치의 기판들에 대한 유효 RF 전력에 적어도 부분적으로 기초하여 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작에 의한 캘리브레이션 절차를 수행하기 위한 인스트럭션들을 더 포함할 수도 있고, 배치의 추가의 기판들이 프로세싱되는 동안 RF 생성기에 의해 공급된 RF 전력을 변화시키기 위한 인스트럭션들은, 동작 (e) 로부터의 특정된 RF 전력을 인가하기 위한 인스트럭션들을 포함한다.
캘리브레이션 절차를 수행하기 위한 인스트럭션들은: 동작 (a) 동안, 제 1 RF 전력으로 테스트 배치의 기판 각각 상에 막을 증착하기 위한 인스트럭션들, 동작 (e) 동안, 테스트 배치의 기판들에 대해 동작 (d) 로부터의 유효 RF 전력으로 제 1 RF 전력의 제곱을 나눔으로써 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하기 위한 인스트럭션들을 더 포함할 수도 있다.
특정한 구현예들에서, 동작 (e) 는 동작 (a) 에서 테스트 배치의 기판들에 대한 기록된 챔버 축적에 적어도 부분적으로 기초하여 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함한다. 캘리브레이션 절차는 기판들의 배치가 프로세싱되는 동일한 반응 챔버 상에서 종종 수행되지만, 일부 실시예들에서, 캘리브레이션 절차는 제 2 반응 챔버 내에서 수행된다. RF 생성기에 의해 공급된 RF 전력을 변화시키기 위한 인스트럭션들은, 배치의 추가의 기판들이 프로세싱되는 동안 반응 챔버 내의 축적의 변화량에 기초하여 RF 전력을 변화시키는 것을 포함할 수도 있다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 아래에 기술될 것이다.
도 1은 ALD 배치 동안 발생하는 동작들을 도시하는 흐름도를 예시한다.
도 2는 배치의 과정 동안 다양한 기판들에 대한 막 두께 및 두께 불균일성을 도시하는 그래프를 나타낸다.
도 3은 RF 보상을 사용하여 기판들 상에 막을 증착하기 위한 증착 장치를 캘리브레이팅하는 (calibrating) 방법에 대한 흐름도를 도시한다.
도 4는 기판들의 테스트 배치에 대해 막 두께 대 챔버 축적을 나타내는 그래프를 도시한다.
도 5는 RF 보상이 사용되는 다양한 실시예들에서 유용한, RF 전력 및 막 두께를 나타내는 표 및 그래프를 도시한다.
도 6은 기판들의 테스트 배치에 대해 유효 RF 전력 대 막 두께를 도시하는 그래프를 나타낸다.
도 7은 RF 보상이 사용되는 다양한 실시예들에서 유용한, 전력 스케일 비율 대 테스트 배치에 대한 축적을 도시하는 그래프를 나타낸다.
도 8은 일 실시예에 따른, 최적의 생성기 설정점 대 축적을 도시하는 그래프를 나타낸다.
도 9 및 도 10은 특정한 구현예들에서 기판들 상에 막을 증착하도록 사용될 수도 있는 반응 챔버의 도면들을 나타낸다.
도 11은 특정한 실시예들에서 사용될 수도 있는 멀티-스테이션 반응 챔버를 예시한다.
도 12는 기판들의 2 개의 배치들에 대해 막 두께 대 웨이퍼 수를 도시하는 그래프를 나타내고: 일 배치는 일정한 RF 전력으로 프로세싱되고 일 배치는 RF 보상을 사용하여 프로세싱된다.
도 13은 도 12의 2 개의 배치들에 대해 굴절률 대 웨이퍼 수를 예시하는 그래프를 나타낸다.
도 14는 도 12의 2 개의 배치들에 대해 습식 에칭 레이트 대 웨이퍼 수를 도시하는 그래프를 예시한다.
도 15는 도 12의 2 개의 배치들에 대해 건식 에칭 레이트 대 웨이퍼 수를 도시하는 그래프를 나타낸다.
도 16은 2 개의 배치들에 대해 습식 에칭 레이트 대 웨이퍼 수를 도시한 그래프를 나타내고: 하나는 일정한 RF로 증착되고 하나는 RF 보상을 사용하여 증착된다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 많은 스테이지들 중 임의의 스테이지 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 가진다. 다음의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 크기들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 추가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물체들을 포함한다.
이하의 기술에서, 제공된 실시예들의 철저한 이해를 제공하기 위해 수많은 구체적인 상세들이 제시된다. 개시된 실시예들은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 이는 개시된 실시예들을 제한하도록 의도되지 않는다는 것이 이해될 것이다.
반도체 디바이스들의 제작은 통상적으로 집적 제조 프로세스에서 평면 기판 또는 비-평면 기판 상에 하나 이상의 박막들을 증착하는 단계를 수반한다. 집적 프로세스의 일부 양태들에서, 기판 토포그래피 (topography) 를 따르는 박막들을 증착하는 것이 유용할 수도 있다. 일부 경우들에서 유용한 반응의 일 타입은 CVD (chemical vapor deposition) 를 수반한다. 통상적인 CVD 프로세스들에서, 가스 상 반응물질들은 반응 챔버 내로 동시에 도입되고 가스-상 반응을 겪는다. 반응 생성물들은 기판의 표면 상에 증착된다. 반응은 프로세스가 PECVD (plasma enhanced chemical vapor deposition) 반응으로서 지칭될 수도 있는 경우에, 플라즈마에 의해 구동될 수도 있다. 본 명세서에서 사용된 바와 같이, 용어 CVD는 달리 언급되지 않는다면 PECVD를 포함하도록 의도된다. CVD 프로세스들은 일부 맥락들에서 CVD 프로세스들을 덜 적절하게 만드는 특정한 단점들을 갖는다. 예를 들어, CVD 가스 상 반응들의 대량 이송 제한들은 상단 표면들 (예를 들어, 게이트 스택들의 상단 표면들) 에서 보다 두꺼운 증착 및 리세스된 (recessed) 표면들 (예를 들어, 게이트 스택들의 하단 코너들) 에서 보다 얇은 증착을 나타내는, "브레드-로핑 (bread-loafing)" 증착 효과들을 유발할 수도 있다. 또한, 일부 다이가 디바이스 밀도가 다른 구역들을 가질 수도 있기 때문에, 기판 표면에 걸친 대량 이송 효과들은 다이-내 및 웨이퍼-내 막 두께 변화들을 발생시킬 수도 있다. 이들 두께 변화들은 일부 구역들의 오버-에칭 (over-etching) 및 다른 구역들의 언더-에칭 (under-etching) 을 발생시킬 수도 있고, 이는 디바이스 성능 및/또는 다이 수율을 저하시킬 수 있다. CVD 프로세스들과 관련된 또 다른 문제는 종종 고종횡비 피처들 내에서 컨포멀한 막들을 형성할 수 없다는 것이다. 이 이슈는 디바이스 치수들이 계속 작아지기 때문에, 점점 문제가 된다.
많은 경우들에서 유용한 반응의 또 다른 타입은 ALD (atomic layer deposition) 이다. CVD 프로세스들은 기판 표면 상에 재료를 신속하게 증착하기 위한 가스 상 반응들을 주로 채용하는 반면, ALD 프로세스들은 훨씬 보다 느린, 순환적 방식으로 재료를 증착하는 표면-매개 (surface-mediated) 반응들을 주로 수반한다. ALD 프로세스의 일 타입은 플라즈마 보조 원자층 증착 (PAALD) 프로세스이고, 여기서 반응은 플라즈마에 대한 노출에 의해 구동된다. ALD 프로세스들에서, 반응물질들은 원하지 않는 가스 상 반응들을 최소화하거나 제거하도록 순환적 방식으로 전달된다. 통상적인 ALD 반응은 (1) 제 1 가스 상 반응물질 (예를 들어, 실리콘-함유 반응물질 또는 금속-함유 반응물질) 에 반응 챔버 내의 기판을 노출시키고 반응물질로 하여금 기판 표면 상에 흡착하게 하는 단계, (2) (예를 들어, 챔버를 배기하기 위해 진공을 인가함으로써 또는 챔버의 외부로 제 1 반응물질을 스위핑하기 (sweep) 위해 비활성 가스를 흘림으로써) 반응 챔버를 퍼징하는 단계, (3) 제 2 가스 상 반응물질 (예를 들어, 산소-함유 반응물질, 질소-함유 반응물질, 및/또는 탄소-함유 반응물질) 에 기판을 노출시키는 단계, (4) 제 1 반응물질과 제 2 반응물질 사이에서 표면 반응을 구동하도록 에너지 소스 (예를 들어, 플라즈마 또는 열) 에 기판을 노출시키는 단계, 및 (5) 반응 챔버를 다시 퍼징하는 단계를 수반한다. 이들 단계들은 목표된 두께의 막을 구축하도록 (build) 반복될 수도 있다. 다양한 경우들에서, 단계 (3) 및 단계 (4) 는 기판이 플라즈마에 노출되는 동안 제 2 반응물질이 반응 챔버로 전달되도록, 전체적으로 또는 부분적으로 오버랩된다 (overlap). ALD 프로세스들은 특히, 퍼지 (또는 불완전한 퍼지) 가 단계 (3) 과 단계 (4) 사이에서 행해지지 않는 경우, 단계 (3) 및 단계 (4) 가 제 때에 오버랩되는 경우, 또는 단계 (3) 이 연속적으로 발생하는 경우에 CFD (conformal film deposition) 로서 때때로 지칭된다. 본 명세서에서 사용되는 바와 같이, 용어 ALD는 달리 언급되지 않는다면 열적 ALD, PAALD, 및 CFD를 포함한다.
전구체 도징 (dosing) 단계들의 노출 시간 및 전구체들의 부착 계수들 (sticking coefficient) 에 따라, ALD 사이클 각각은 일 예에서, 약 0.5 Å 내지 3 Å 두께의 막 층을 증착할 수도 있다.
ALD/CFD를 사용하여 막들을 형성하기 위한 방법들은, 전체가 참조로서 본 명세서에 인용되는, 다음의 미국 특허 출원들: 2011년 4월 11일 출원된 미국 특허 출원 제 13/084,399 호; 2013년 7월 29일 출원된 미국 특허 출원 제 13/953,616 호; 2013년 11월 7일 출원된 미국 특허 출원 제 14/074,596 호; 및 2013년 12월 30일 출원된 미국 특허 출원 제 14/144,107 호에 기술된다.
본 명세서에 기술된 프로세스들은 이로 제한되지 않지만, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 도핑된 산화물, 금속 산화물, 및 금속 질화물을 포함하는 다양한 타입들의 막들을 생성하도록 사용된다. 달리 문맥으로부터 분명하지 않다면, 용어 실리콘 산화물은 주로 실리콘 및 산소의 화학량론적 고체 조성물 및 비-화학량론적 고체 조성물을 커버하도록 의도된다. 실리콘 산화물 막들은 다양한 결정도 (crystallinity), 거칠기, 등을 포함하는 다양한 모폴로지들 (morphology) 을 가질 수도 있다. 유사하게, 본 명세서에 언급된 다른 막 타입들은 화학량론적 또는 비-화학량론적일 수도 있고, 다양한 모폴로지들을 가질 수도 있다.
ALD 프로세스들은 배치들의 종종 이행된다. 단일 배치는 어디든지 몇몇의 기판들 내지 수백 개의 기판들을 가질 수도 있다. 단일 배치는 차후의 세정 사이클들 사이에서 특정한 장치 상에서 프로세싱된 모든 기판들을 포함한다. 도 1은 단일 배치 동안 발생하는 동작들을 상술하는 흐름도를 나타낸다. 동작 101에서, 클린 챔버가 배치의 시작 시 제공될 수도 있다. 다음에, 챔버는 동작들 103에서의 사용을 위해 준비될 수도 있다. 다양한 경우들에서, 챔버 준비 동작은 증착 프로세스를 안정화하고 기판들 상의 오염을 최소화하는 것을 돕는, 언더코팅 및 사전코팅의 증착을 포함한다. 챔버 세정 및 준비는 전체가 참조로서 본 명세서에 인용되는, 다음의 미국 특허들 및 특허 출원들: 2013년 11월 25일 출원되고 발명의 명칭이 "CHAMBER UNDERCOAT PREPARATION METHOD FOR LOW TEMPERATURE ALD FILMS"인, 미국 특허 출원 제 14/089,653 호; 2014년 1월 17일 출원되고 발명의 명칭이 "METHOD AND APPARATUS FOR THE REDUCTION OF DEFECTIVITY IN VAPOR DEPOSITED FILMS"인, 미국 특허 출원 제 14/158,536 호; 2009년 1월 16일 출원되고 발명의 명칭이 "PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER"인, 미국 특허 출원 제 12/355,601 호; 2012년 10월 17일 출원되고 발명의 명칭이 "METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS"인, 미국 특허 출원 제 13/654,303 호; 미국 특허 제 7,479,191 호; 및 미국 특허 제 8,262,800호에서 더 논의된다.
챔버가 준비된 후에, 기판들은 동작 105 동안 장치를 통해 프로세싱될 수도 있다. 이 단계는 배치의 다양한 기판들 상에 막을 증착하는 것을 수반한다. 장치가 복수의 스테이션들을 포함하는 경우에, 복수의 기판들은 동시에 프로세싱될 수도 있다. 재료가 기판들 상에 증착되기 때문에, 증착 프로세스들로부터의 재료는 내부 챔버 표면들 상에서 구축된다. 배치의 모든 기판들이 프로세싱된 후에, 챔버는 동작 107에서 축적된 재료를 제거하도록 세정된다. 동작 107에서 세정 프로세스는 배치를 종료한다. 챔버 세정 방법들은 전체가 참조로서 본 명세서에 인용되는, 다음의 미국 특허들 및 특허 출원들: 미국 특허 제 7,479,191 호; 미국 특허 제 8,262,800 호; 2009년 1월 16일 출원되고 발명의 명칭이 "PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER"인, 미국 특허 출원 제 12/355,601 호; 및 2012년 10월 17일 출원되고 발명의 명칭이 "METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS"인, 미국 특허 출원 제 13/654,303 호에서 더 논의된다.
반응 챔버가 세정된 후에, 기판들의 새 배치가 도 1에 파선 화살표로 나타낸 바와 같이 프로세싱될 수도 있다. 막이 다음의 배치의 기판들 상에 실제로 증착되기 전에, 챔버는 증착을 위해, 예를 들어, 내부 챔버 표면들 상의 새로운 언더코팅 및 사전코팅의 증착을 통해 다시 준비된다. 기본 증착 단계, 챔버 세정 단계, 및 챔버 준비 단계가 발생하는 한, 배치는 또한 상이한 지점들에서 시작 및 종료하도록 고려될 수도 있다. 예를 들어, 배치는 배치의 제 1 기판 상에 증착이 먼저 발생할 때, 챔버가 이미 준비되었다면, 시작하도록 고려될 수도 있다. 이 경우에, 배치는 챔버가 다음의 배치를 위해 세정 및 준비된 후 종결되게 (finish) 고려될 것이다.
유감스럽게도, 증착된 막의 특정한 특성들은 배치의 시간에 걸쳐 드리프트하는 (drift) 경향이 있다. 드리프트할 수도 있는 특성들의 예들은 그 중에서도 막 두께 및 에칭 레이트들을 포함한다. 이들 경향 (trending) 막 특성들은 이중 패터닝 스페이서들, FinFET 스페이서들, 및 게이트 라이너들 및 스페이서들과 같은 특정한 적용들에서 특히 문제가 많다. 이들 적용들은 막 두께, 굴절률, 에칭 레이트들, 등과 같은 막 특성들의 정확한 제어를 요구하는, 정밀한 임계 치수 제어를 요구할 수도 있다.
큰 배치 크기들은 배치들 사이에서 챔버를 세정하고 준비하는데 시간이 덜 걸리기 때문에, 쓰루풋을 최대화한다는 면에서 유리하다. 일부 경우들에서, 배치는 적어도 약 50 개의 기판들, 예를 들어, 적어도 약 100 개의 기판들, 또는 적어도 약 200 개의 기판들, 또는 적어도 약 300 개의 기판들, 또는 적어도 약 400 개의 기판들, 또는 적어도 약 500 개의 기판들을 포함한다. 큰 배치 크기들의 사용을 허용하는 일 요인은, 큰 챔버 볼륨이다. 일부 경우들에서, 챔버 볼륨은 적어도 약 2 L, 예를 들어 적어도 약 0.5 L일 수도 있다. 본 실시예들과 함께 사용하기 위해 구성될 수도 있는 예시적인 장치는, 캘리포니아 프리몬트 소재의 Lam Research Corporation로부터 입수 가능한 VECTOR®, SPEED®, 및 ALTUS® 제품군의 장치를 포함한다. 큰 챔버 볼륨들은 예를 들어, 챔버 볼륨 내의 상이한 스테이션들에서 복수의 기판들로 하여금 즉시 프로세싱되게 허용할 수도 있다. 또한, 이러한 축적이 발생하는 보다 큰 표면적이 있기 때문에, 큰 챔버 볼륨들은 챔버 표면들 상의 축적된 재료의 보다 느린 구축을 발생시킨다. 그러나, 큰 배치 크기들은 또한 막 특성 경향 문제에 기여한다. 예를 들어, 보다 큰 배치들은 배치의 막 특성들의 보다 큰 시프트들 (shift) 을 발생시킬 수도 있다.
막 특성 경향 이슈가 내부 챔버 표면들 상의 재료의 축적으로부터 적어도 부분적으로 기인한다고 여겨진다. 증착된 재료가 축적되는 동안, 챔버 임피던스는 변화한다. 챔버 벽들 상 및 샤워헤드의 이면 상의 축적은, 변하는 챔버 임피던스의 면에서 특히 문제가 될 수 있다. 챔버 임피던스의 이 변화는 RF 전력이 기판으로 전달되는 효율에 영향을 미친다. 따라서, 종래의 방법들이 일반적으로 기판들의 전체 배치에 대해 단일 RF 전력 설정사항을 채용하지만, 기판으로 전달된 RF 전력의 실제량은 배치의 과정 동안 변화한다. 다양한 경우들에서, RF 전력이 기판으로 전달되는 효율은, 배치의 과정 동안 증가한다. 막 두께에 관해서, 예를 들어, 이 증가된 RF 전달은 배치의 추가의 기판들이 프로세싱되는 동안 막 두께를 감소시키는 것을 발생시킨다.
도 2는 실리콘 산화물이 기판 각각 상에 증착되는 375 개의 기판 배치와 관련된 데이터를 나타낸다. 제 2 배치의 시작 부분은 기판 번호 376에서 시작하는 것으로 또한 도시된다. 배치의 다양한 개별 기판들에 대한 평균 막 두께 및 웨이퍼-내 두께 불균일성이 도면에 도시된다. 막 두께는 처음부터 150 개 정도까지 하향인 경향을 띈다. 막 두께는 배치 내에 남아있는 기판들에 대해 훨씬 보다 안정적이다. 막 두께는 (챔버가 세정된 후) 다음의 배치의 시작에서 다시 상향으로 급등한다 (spike). 전반적으로, 막 두께는 배치의 가장 얇은 막과 가장 두꺼운 막 사이의 범위에서 약 7.8 Å이고, 막 두께의 약 2.25 %를 나타낸다. 웨이퍼-내 두께 불균일성은 배치의 과정 동안 꽤 안정적이다. 도 2의 데이터가 실리콘 산화물이 증착되는 배치와 관련되지만, 다른 막 타입들이 배치 동안 시간에 걸쳐 두께의 동일한 드리프트를 겪는다.
언급된 바와 같이, 막 두께는 시간에 걸쳐 기판으로의 RF 전력 전달의 증가하는 효율에 기인하여 하향하는 경향을 띄고, 이는 반응 챔버 (예를 들어, 챔버 벽들, 천장, 샤워헤드, 등) 의 내부 표면들 상의 증가하는 축적을 발생시킬 수도 있다고 여겨진다. 이러한 축적은 챔버 임피던스에 영향을 미치고, 이는 증착 결과들에 영향을 미칠 수 있다. 이들 효과들은 증착되는 막이 유전체 막일 때 특히 단언된다 (pronounce).
본 명세서의 다양한 실시예들에서, 단계들은 특정한 막 특성들이 기판들의 배치 동안 경향을 띄는 정도를 감소시키기 위해 행해진다. 예를 들어, RF 전력은 RF가 기판으로 전달되는 변화하는 효율을 처리하도록 (address) 시간에 걸쳐 변화될 수 있다. 본 명세서에 개시된 방법들은 기판들의 배치 동안 보다 안정한 막 특성들을 달성하는 방식으로 RF 전력을 제어하기 위한 기법들을 제공한다.
도 3은 기판들의 배치 동안 RF 전력을 제어하는 방법에 대한 흐름도를 나타낸다. 방법은 필요에 따라 수행될 수도 있는, 특정한 실험적/캘리브레이션 단계들을 수반한다. 배치가 실행될 (run) 때마다 그리고 매번 이들 단계들을 수행하는 것은 불필요하다. 일부 구현예들에서, 실험적/캘리브레이션 단계들은 일회 (예를 들어, 특정한 막 타입들, 전구체들, 플로우 레이트들, 타이밍, 온도, 압력 등과 같은 관련된 증착 조건들의 세트 당 일회) 실행되고, 그리고 결과들은 많은 배치들 (예를 들어, 관련된 증착 조건들을 사용하는 임의의 배치들) 동안 RF 전력을 제어하도록 사용된다. 일부 경우들에서, 증착 파라미터들은 실험적/캘리브레이션 절차들에 대해 사용된 증착 파라미터들과 일반적으로 기판들 상에 막을 증착하도록 사용된 증착 파라미터들 사이에서 균일하다. 다른 경우들에서, 특정한 증착 파라미터들 (예를 들어, 플로우 레이트들, 타이밍, 온도, 압력, RF 전력, 등) 은, 캘리브레이션 절차들에 대해 사용된 증착 파라미터들과 일반적으로 기판들 상에 막을 증착하도록 사용된 증착 파라미터들 사이에서 약 10 % 이하만큼, 예를 들어, 5 % 이하만큼 변화할 수도 있다. 도 3에 나열된 동작들은 도 4 내지 도 8에 도시된 그래프들과 관련하여 설명된다.
도 3의 방법 (300) 은 테스트 배치가 기판들 상에 막을 증착하기 위해 사용되는 관련된 증착 조건들을 사용하여 실행되는, 동작 301에서 시작한다. 테스트 배치는 배치 동안 임의의 경향이 분명하고 잘 특성을 나타내도록 상대적으로 커야한다. 테스트 배치는 적어도 대략 목표된 배치 프로세스에서 프로세싱될 때만큼 많은 기판들을 포함할 수도 있다. 일부 경우들에서, 테스트 배치는 적어도 약 100 개의 기판들, 적어도 약 200 개의 기판들, 적어도 약 300 개의 기판들, 적어도 약 400 개의 기판들, 또는 적어도 약 500 개의 기판들을 포함한다. 도 4 내지 도 8와 관련하여 도시된 예에서, 테스트 배치는 약 375 개의 기판들을 포함하고, RF 생성기는 1600 W를 전달하도록 설정된다. 배치는 도 1에 대해 기술된 바와 같이 챔버가 증착을 위해 준비된 후 (예를 들어, 언더코팅/사전코팅의 증착 후) 클린 챔버 내에서 실행되어야 한다. 동작 301 동안, 기판들은 증착 장치 내로 공급되고 막은 기판들 상에 증착된다.
증착 동안, 챔버 축적의 양은 기판 각각이 프로세싱될 때 모니터링/기록된다. 챔버 축적은 점점 더 많은 기판들이 프로세싱되는 동안 증가한다. 챔버 축적은 통상적으로 실제 측정된 양과 관련된 것이라기보다는, 증착 조건들에 기초하여 계산되는 측정 기준 (metric) 에 관한 것이다. 그럼에도 불구하고, 챔버 축적은 동작 303에 도시된 바와 같이, 배치 동안 신뢰할 수 있게 계산 및 모니터링될 수 있다. 증착 후에, 기판 각각은 동작 303에 또한 도시된 바와 같이, 막 두께에 대해 테스트된다. 따라서, 챔버 축적 및 막 두께는 배치의 기판 각각에 대해 공지된다. 막 두께와 챔버 축적 사이의 관계는 예를 들어, 도 4에 도시된 바와 같이, 막 두께 대 챔버 축적을 플롯팅함으로써 (plot) 이 데이터에 기초하여 특징지을 수 있다. 도 2에 도시된 경향과 유사하게, 막 두께는 배치의 과정 동안 하향하는 경향을 띈다.
다음에, 막은 증착되고 동작 305에서 변하는 레벨들의 설정된 RF 전력 중 제 2 세트 상에서 측정된다. 설정된 RF 전력은 전력 공급에 의해 설정된 RF 전력의 양을 지칭한다. 예를 들어, RF 생성기가 1600 W를 전달하도록 설정/프로그래밍된다면, 설정된 RF 전력은 1600 W이다. 설정된 RF 전력은 아래에 더 논의되는, 유효 RF 전력과 상이하다. 제 2 세트의 기판들 상의 증착은 상대적으로 클린한 챔버 내에서 발생해야 한다. 예를 들어, 제 2 세트의 기판들은 새로운 언더코팅/사전코팅을 사용하여 단순히 준비되는 챔버 내에서 실행될 수도 있다. 다양한 실시예들에서, 제 2 세트의 기판들은, 25 개보다 적은 기판들 (예를 들어, 25 개보다 적은 기판들, 15 개보다 적은 기판들, 10 개보다 적은 기판들, 5 개보다 적은 기판들, 3 개보다 적은 기판들, 또는 0 개의 기판들) 이 언더코팅/사전-코팅의 증착 후 챔버 내에서 프로세싱되었을 때 시작된다. 보다 클린한 챔버들은 이 단계 동안 보다 정확하고/유용한 결과들을 제공하는 것을 돕는다. 증착을 위해 준비되는 클린 챔버는 "기준" 조건을 나타낸다고 말할 수 있다. 제 2 세트의 기판들은 기판들의 테스트 배치보다 훨씬 더 작을 수도 있다. 일부 경우들에서, 제 2 세트의 기판들은 적어도 약 15 개의 기판들, 예를 들어 적어도 약 25 개의 기판들을 가진다. 이들 또는 다른 경우들에서, 제 2 세트의 기판들은 약 25 개 이하의 기판들을 가질 수도 있다. 기판 각각에 대한 막 두께가 측정 및 기록된다.
설정된 RF 전력은 제 2 세트의 기판들의 과정 동안 변화한다. 적어도 하나의 기판은 테스트 배치 상에 막을 증착하도록 사용된 설정된 RF 전력과 동일한 설정된 RF 전력으로 실행되어야 한다. 다른 기판들은 테스트 배치의 사용된 설정된 RF 전력 위 및/또는 아래의 설정된 RF 전력 값들로 실행될 수도 있다. 테스트 배치 상에서 사용된 설정된 RF 전력으로 적어도 하나의 기판을 실행함으로써, 이 동작에서 생성된 데이터는 동작들 301/303로부터의 데이터로 정규화될 수 있다. 정규화는 (a) 테스트 배치의 제 1 기판 상의 막 두께와 (b) 테스트 배치의 설정된 RF 전력으로 실행되었던 제 2 세트 내에서 기판 상의 막 두께 사이의 차이를 결정하는 것을 수반한다. 도 4 및 도 5의 맥락에서, 이것은 (도 4의 테스트 배치를 실행할 때 사용된 설정된 RF 전력) 1600 W로 실행된 기판에 대해 도 5의 표에서의 막 두께 (346.8 Å) 와 도 4의 제 1 기판의 막 두께 (349.9 Å) 를 비교하는 것을 의미한다. 이 차이 (349.9 Å - 346.8 Å = 3.1 Å) 는, 제 2 세트 내에서 모든 데이터가 데이터를 정규화하도록 시프트되어야 하는 양을 나타낸다. 원래의 두께 데이터뿐만 아니라 정규화된 두께 데이터는, 도 5의 표에 제공된다. 도 5에 도시된 그래프는 정규화된 두께들만을 도시한다. 설정된 RF 전력 레벨들과 막 두께 사이의 관계는, 예를 들어, 도 5에 도시된 바와 같이, 설정된 RF 전력 대 막 두께를 플롯팅함으로써, 동작 307에서 얻을 수 있다. 회귀 (regression) 분석은 변수들 사이에서 피트 (fit) (예를 들어, 다른 타입들의 피트가 적절하게 사용될 수도 있지만, 도 5의 선형 커브) 를 결정하도록 수행될 수 있다.
다음에 동작 309에서, 동작 307에서 결정된 관계는 유효 RF 전력에 동작들 301/303에서 생성된 두께 데이터를 맵핑하도록 (map) 사용된다. 용어 "유효 RF 전력"은 일반적으로 RF 전력이 기판에 전달되는 효율에 의해 수정됨에 따른 설정된 RF 전력에 관한 것이다. 유효 RF 전력은 기판에 실제로 전달되는 RF 전력의 양과 직접적으로 상관관계가 있다. 보다 구체적으로, 유효 RF 전력은, RF 생성기가 기준 조건들 (예를 들어, 거의 또는 전혀 축적이 없는 클린 챔버) 이 존재한다면 달성되는 실제의 막 두께를 달성하도록 설정될 필요가 있는 레벨이다. 결과는 도 6에 도시된다. 도 6에서 배치의 시작은 그래프의 우측을 향해 도시되고 배치의 종료는 그래프의 좌측을 향해 도시된다. 시간 표시된 화살표는, 시간이 도면에 직접적으로 나타나 있지 않음이 이해될지라도, 이해의 용이성을 위해 제공된다. 배치의 시작 (여기서 막 두께는 약 350 Å임) 에서, 유효 RF 전력은, 기준 조건들 (클린 챔버) 이 배치의 시작에서 존재하였기 때문에, 설정된 RF 전력 (1600 W) 과 엄밀히 매칭한다 (match). 보다 많은 기판들이 프로세싱되는 동안, 유효 RF 전력은 설정된 RF 전력으로부터 다소 상당히 벗어나서 증가한다.
다음에, 동작 311에서, 전력 스케일링 비율 (scaling ratio) 은 테스트 배치와 관련된 데이터에 대한 축적의 함수로서 결정된다. 전력 스케일링 비율은 개별 기판 각각에 대해 결정되고, 그리고 동작 309에서 결정되고 도 6에 도시된 바와 같이 기판에 전달된 유효 RF 전력 나누기 테스트 배치의 사용된 설정된 RF 전력 (이 예에서 1600 W) 으로서 계산된다. 축적은 이전의 동작들로부터 공지되고 도 4에 제공되었다. 데이터는 도 7에 도시된 바와 같이, 전력 스케일링 비율과 축적 사이의 관계를 나타내도록 결합될 수 있다. 특정한 실시예들에서, 전력 스케일링 비율을 결정할 때 배치의 제 1 기판을 생략하는 것이 바람직할 수도 있다.
이어서, 동작 313에서, RF 생성기의 최적의 설정점은 동작 311에서 결정되고 도 7에 도시된 전력 스케일링 비율 함수에 기초하여 축적의 함수로서 (미래의 배치들을 위해) 결정된다. 생성기의 설정점은 생성기에 의해 전달된 설정된 RF 전력과 관련된다. 기판 데이터 지점 각각에 대한 최적의 생성기 설정점은, 기판 각각에 대해 전력 스케일링 비율 곱하기 테스트 배치의 사용된 설정된 RF 전력 (이 예에서 1600 W) 으로서 계산된다. 결과는 도 8에 도시된다. 회귀 분석은 최적의 설정점과 축적의 레벨 사이의 수학적 관계를 결정하도록 사용될 수도 있다. 도 8에 도시된 것과 같이, 일부 예들에서, 데이터는 3차 다항식 커브에 피팅된다 (fit). 다른 타입들의 커브 피팅이 적절하게 사용될 수도 있다. 이어서 피팅된 커브의 계수들은, RF 생성기의 설정점 (즉, 설정된 RF 전력) 을 제어하는 제어기에 공급될 수 있다. 동작 315에서, 제어기는 RF 생성기로 하여금 기판들의 새로운 배치 상에 막을 증착하기 위해 동작 313에서 결정된 최적의 설정점들에 기초하여 설정된 RF 전력의 목표된 양을 전달하게 하도록 이러한 계수들을 사용할 수도 있다. 기판들의 새로운 배치가 프로세싱될 때, 챔버 축적은 챔버 표면들 상에 끊임없이 구축되고, 그리고 생성기 설정점은 배치의 특정한 시간에 존재하는 축적의 양에 기초하여 RF의 최적의 양을 전달하도록 끊임없이 변화된다. 예를 들어, 도 8에 도시된 데이터에 기초하여, RF 생성기는 약 15,000 Å의 축적이 존재할 때 약 1320 W, 그리고 약 25,000 Å의 축적이 존재할 때 단지 약 1245 W의 설정된 RF 전력을 전달할 수도 있다. 테스트 배치의 제 1 기판 (또는 제 1 몇 개의 기판들) 은, 미래의 배치들의 최적의 생성기 설정점을 결정할 때 생략될 수도 있고, 미래의 배치들의 제 1 기판 (또는 제 1 몇 개의 기판들) 은, 예를 들어, 테스트 배치 동안 사용된 설정된 RF 전력으로 증착될 수도 있다. 이것은 미래의 배치들의 제 1 소수의 기판들의 과정 동안 설정된 RF 전력의 실질적인 감소를 발생시킬 수도 있다.
위에서 언급한 바와 같이, RF 전력이 기판 표면으로 전달되는 효율은, 일반적으로 배치 동안 시간에 걸쳐 증가한다. 이 증가하는 효율을 방지하고 (combat) 보다 안정한 레벨로 기판 표면에 RF를 전달하도록, 설정된 RF 전력 (즉, RF 생성기가 설정되는 전력) 은, 도 8에 도시된 바와 같이 배치의 과정 동안 시간에 걸쳐 감소될 수 있다. 개시된 RF 보상 방법들은 보다 균일한 막 특성들을 달성하는 지능적인 방식으로 시간에 걸쳐 설정된 RF를 감소시키기 위한 모범 (guidance) 을 제공한다. 하나의 결과는 막 두께가 배치 동안 시간에 걸쳐 보다 안정하다는 것이다. 관련된 결과는 막들의 습식 에칭 레이트가 배치 동안 시간에 걸쳐 보다 안정하다는 것이다. 이들 결과들은 실험 섹션에서 아래에 제공된다.
관련된 RF 보상 방법에서, 축적은 직접적으로 고려되지 않는다. 대신에, 기판 번호가 사용된다 (예를 들어, 배치의 제 1 기판은 기판 #1, 제 2 기판은 기판 #2, 등). 축적이 기판 번호와 직접적으로 상관관계가 있기 때문에, RF 보상 방법은 축적을 고려하지 않고 이행될 수도 있다. 기판 번호보다 축적의 사용은 (설정된 RF 전력을 배제하는) 증착 조건들이 배치의 과정 동안 변화하는 경우들에서 더 양호할 수도 있다. 이러한 경우들에서, 축적과 기판 번호 사이의 관계는 덜 신뢰할 수 있다.
또 다른 관련된 RF 보상 방법에서, 모델링은 최적의 생성기 설정점 대 축적을 특징으로 하도록 사용되지 않는다. 대신에, 최적의 생성기 설정점은 도 8에 도시된 데이터를 따름으로써 간단히 결정된다. 생성기 설정점은 변수들 사이의 수학적 관계를 모델링하지 않고 특정한 축적 레벨들에 대해 목표된 레벨들로 설정될 수 있다. 수학적 모델이 설정된 RF 전력의 제어를 단순화할 수도 있지만, 이러한 모델은 개시된 실시예들을 실시할 필요가 없다.
특정한 증착 조건들은 테스트 배치, 제 2 세트의 기판들과, 테스트 배치에 기초하여 RF 보상 모드에서 실행된 차후의 배치 사이에서 일정하게 남아있어야 한다. 이들 증착 조건들은 온도, RF 주파수, 가스 플로우, 도즈 플로우, 압력, 도즈 시간, 퍼지 시간들, RF 시간을 포함한다. 다른 증착 조건들은 테스트 배치와 RF 보상 모드로 실행된 배치 사이에서 변화될 수도 있다. 이들 조건들은 사이클 수를 포함할 수도 있다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치에 의해 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 성취하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 가진 시스템 제어기를 포함한다. 일부 실시예들에서, 하드웨어는 프로세스 툴 내에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
도 9는 ALD 프로세스 스테이션 (900) 의 실시예를 개략적으로 도시한다. 간략함을 위해, 프로세스 스테이션 (900) 은 저압 분위기를 유지하기 위해 프로세스 챔버 몸체 (902) 를 가진 단독형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (900) 이 공동의 프로세스 툴 분위기에 포함될 수도 있음이 이해될 것이다. 예를 들어, 도 11은 멀티-스테이션 프로세싱 툴 (1100) 의 실시예를 도시한다. 또한, 일부 실시예들에서, 위에서 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (900) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램 방식으로 조정될 수도 있음이 이해될 것이다.
ALD 프로세스 스테이션 (900) 은 분배 샤워헤드 (906) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (901) 과 유체적으로 연통한다. 반응물질 전달 시스템 (901) 은 샤워헤드 (906) 로의 전달을 위해 프로세스 가스들을 블렌딩하고 (blend) 및/또는 컨디셔닝하기 (condition) 위한 혼합 용기 (904) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브들 (920) 은 혼합 용기 (904) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
BTBAS와 같은, 일부 반응물질들은 프로세스 스테이션에서의 기화 및 프로세스 스테이션으로의 차후의 전달 전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 9의 실시예는 혼합 용기 (904) 에 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (903) 을 포함한다. 일부 실시예들에서, 기화 지점 (903) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프 내에서 응결할 수도 있다. 응결된 반응물질에 대한 양립 가능하지 않은 가스들의 노출은, 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막을 수도 있고, 밸브 동작을 방해할 수도 있고, 기판들을 오염시키는 등을 할 수도 있다. 이들 이슈들을 처리하기 위한 일부 방법들은, 잔류 반응물질을 제거하도록 전달 파이프를 스위핑하고 (sweeping) 배기하는 것을 수반한다. 그러나, 전달 파이프를 스위핑하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있고, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시예들에서, 기화 지점 (903) 의 다운스트림에 있는 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (904) 가 또한 열 추적될 수도 있다. 하나의 비제한적 예에서, 기화 지점 (903) 의 다운스트림에 있는 파이프는 혼합 용기 (904) 에서 약 100 ℃로부터 약 150 ℃로 확장하는 증가하는 온도 프로파일을 가진다.
일부 실시예들에서, 반응물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림 내로 액체 반응물질의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응물질을 기화할 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 차후에 기화되는 분산된 미세액적들로 액체를 원자화할 (atomize) 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화할 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 이해될 것이다. 보다 빠른 기화는 기화 지점 (903) 으로부터 다운스트림에 있는 파이프의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (904) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (906) 에 직접 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (903) 의 업스트림에 있는 액체 플로우 제어기는 프로세스 스테이션 (900) 으로의 전달 및 기화를 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 플로우 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 MFM (mass flow meter) 을 포함할 수도 있다. LFC의 플런저 밸브는 MFM과 전기적 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하는 것은 1 초 또는 그 이상 걸릴 수도 있다. 이것은 액체 반응물질을 도징하기 (dosing) 위해 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접적인 제어 모드 사이에서 동적으로 전환될 (switch) 수도 있다. 일부 실시예들에서, LFC는 PID 제어기 및 LFC의 센스 (sense) 튜브를 디스인에이블함으로써 (disable) 피드백 제어 모드로부터 직접적인 제어 모드로 동적으로 전환될 수도 있다.
샤워헤드 (906) 는 기판 (912) 을 향해 프로세스 가스들을 분배한다. 도 9에 도시된 실시예에서, 기판 (912) 은 샤워헤드 (906) 아래에 위치되고, 페데스탈 (908) 상에 놓이게 도시된다. 샤워헤드 (906) 가 임의의 적합한 형상을 가질 수도 있고, 기판 (912) 으로 프로세스들 가스들을 분배하기 위한 포트들의 임의의 적합한 수 및 배열을 가질 수도 있음이 이해될 것이다. 특정한 실시예들에서, 샤워헤드는 상이한 온도들에서 2 개 이상의 가스들을 전달하도록 구성된다. 이러한 샤워헤드들의 예들은 전체가 참조로서 본 명세서에 인용되는, 2013년 7월 3일 출원되고 발명의 명칭이 "MULTI-PLENUM, DUAL-TEMPERATURE SHOWERHEAD"인, 미국 특허 출원 제 13/934,597 호에서 더 논의된다.
일부 실시예들에서, 미세볼륨부 (907) 가 샤워헤드 (906) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨에서 보다는 미세볼륨부에서 CFD 프로세스를 수행하는 것은, 반응물질 노출 및 스위핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들, 등에 대한 프로세스 스테이션 로보틱스 (robotics) 의 노출을 제한할 수도 있다. 예시적인 미세볼륨부 크기들은 이로 제한되지 않지만 0.1 L 내지 2 L의 볼륨들을 포함한다.
일부 실시예들에서, 페데스탈 (908) 은 미세볼륨부 (907) 에 기판 (912) 을 노출시키도록 및/또는 미세볼륨부 (907) 의 볼륨을 가변하도록 상승 또는 하강될 수도 있다. 예를 들어, 기판 이송 페이즈 (phase) 에서, 페데스탈 (908) 은 기판 (912) 으로 하여금 페데스탈 (908) 상으로 로딩되게 하도록 하강될 수도 있다. ALD 프로세스 페이즈 동안, 페데스탈 (908) 은 미세볼륨부 (907) 내에 기판 (912) 을 배치하도록 상승될 수도 있다. 일부 실시예들에서, 미세볼륨부 (907) 는 ALD 프로세스 동안 높은 플로우 임피던스의 구역을 생성하도록 페데스탈 (908) 의 부분뿐만 아니라 기판 (912) 을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (908) 은 미세볼륨부 (907) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하도록 ALD 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 몸체 (902) 가 프로세스 동안 기저 압력으로 유지되는 일 시나리오에서, 하강하는 페데스탈 (908) 은 미세볼륨부 (907) 로 하여금 배기되게 허용할 수도 있다. 미세볼륨부 대 프로세스 챔버 볼륨의 예시적인 비율들은 이로 제한되지 않지만 1:500 내지 1:10의 볼륨 비율들을 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램 방식으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (908) 의 높이를 조정하는 것은, 플라즈마 밀도로 하여금 ALD 프로세스 내에 포함된 플라즈마 활성화 사이클 및/또는 처리 사이클 동안 가변되게 할 수도 있다. ALD 프로세스 페이즈의 결말에서, 페데스탈 (908) 은 페데스탈 (908) 로부터 기판 (912) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 미세볼륨부 변화들이, 높이-조정 가능한 페데스탈을 지칭하지만, 일부 실시예들에서, 샤워헤드 (906) 의 위치가 미세볼륨부 (907) 의 볼륨을 가변하도록 페데스탈 (908) 에 대해 조정될 수도 있음이 이해될 것이다. 또한, 페데스탈 (908) 및/또는 샤워헤드 (906) 의 수직 위치가 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있음이 이해될 것이다. 일부 실시예들에서, 페데스탈 (908) 은 기판 (912) 의 배향을 회전시키기 위한 회전축을 포함할 수도 있다. 일부 실시예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램 방식으로 수행될 수도 있음이 이해될 것이다.
도 9에 도시된 실시예로 돌아가서, 샤워헤드 (906) 및 페데스탈 (908) 은, 플라즈마에 전력을 공급하기 (power) 위해 RF 전력 공급부 (914) 및 매칭 네트워크 (916) 와 전기적으로 통신한다. 복수의 스테이션들에 걸쳐 RF를 인가하기 위한 방법들 및 장치는, 전체가 참조로서 본 명세서에 인용되는, 2014년 8월 12일 출원되고 발명의 명칭이 "MULTI-STATION PLASMA REACTOR WITH RF BALANCING"인, 미국 특허 출원 제 14/458,135 호에서 더 논의된다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (914) 및 매칭 네트워크 (916) 는, 목표된 조성의 라디칼 종을 가진 플라즈마를 형성하도록 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들이 상기에 포함된다. 유사하게, RF 전력 공급부 (914) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (914) 는 서로 관계없이 고-주파수 RF 전력 소스 및 저-주파수 RF 전력 소스를 제어하도록 구성될 수도 있다. 저 주파수 RF 주파수들의 예는 이로 제한되지 않지만 50 kHz 내지 500 kHz의 주파수들을 포함할 수도 있다. 고 주파수 RF 주파수들의 예는 이로 제한되지 않지만 1.8 MHz 내지 2.45 GHz의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 일 비제한적 예에서, 플라즈마 전력은 연속적으로 전력을 공급받는 플라즈마들에 대해서 기판 표면의 이온 충격을 감소시키도록 단속적으로 펄싱될 수 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인- 시츄 (in situ) 방식으로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들이 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램 방식으로 조정될 수도 있다. 예를 들어, OES 센서가 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다. 이러한 모니터들은 이로 제한되지 않지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 통해서 제어될 수도 있다. 일 예에서, 플라즈마 활성화 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈 내에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은, 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들이 플라즈마 프로세스 페이즈를 선행하는 레시피 페이즈 내에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 비활성 및/또는 반응물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 후속하는 레시피 페이즈는 플라즈마 생성기를 인에이블하기 (enable) 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스인에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 하위분할 및/또는 반복될 수도 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이킹 (strike) 은 수 초 이상의 차수 동안 지속된다. 본 명세서에서 기술된 특정한 구현예들에서, 보다 짧은 플라즈마 스트라이킹들은 프로세싱 사이클 동안 인가될 수도 있다. 이는 50 ms 내지 1 초의 차수에 있을 수도 있으며, 특정한 예에서는 0.25 초이다. 이러한 짧은 RF 플라즈마 스트라이킹은 플라즈마의 신속한 안정화를 요구한다. 이를 성취하기 위해서, 플라즈마 생성기는, 주파수가 플로팅되게 (float) 되는 동안에 임피던스 매칭이 특정한 전압으로 사전설정되도록 구성될 수도 있다. 통상적으로, 고-주파수 플라즈마들은 약 13.56 MHz의 RF 주파수에서 생성된다. 본 명세서에서 개시된 다양한 실시예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 사전 결정된 전압으로 고정시키면서 주파수가 플로팅되게 함으로써, 플라즈마는 매우 보다 신속하게 안정화될 수 있으며, 이 결과는 ALD 사이클들과 연관된 매우 짧은 플라즈마 스트라이킹을 사용할 때에 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (908) 은 히터 (910) 를 통해서 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (900) 에 대한 압력 제어는 버터플라이 밸브 (918) 에 의해 제공될 수도 있다. 도 9의 실시예에 도시된 바와 같이, 버터플라이 밸브 (918) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다 (throttle). 그러나, 일부 실시예들에서, 프로세스 스테이션 (900) 의 압력 제어는 또한 프로세스 스테이션 (900) 으로 도입되는 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
프로세스 스테이션 (900) 의 내측 표면들은 언더코팅 (950) 으로 코팅된다. 언더코팅으로 코팅되는 표면들의 예들은 챔버 벽들 (902), 챔버 천장 및 바닥, 페데스탈 (908) 및 샤워헤드 (906) 를 포함한다. 도 9는 프로세스 스테이션 (900) 내에 기판 (912) 이 존재하는 것으로 도시되지만, 이러한 기판 (912) 은 언더코팅의 증착 동안 존재하지 않는다. 대신에, 기판 (912) 은 언더코팅이 증착된 후에, 프로세스 스테이션 (900) 이 기판 (912) 상에 막을 증착하기 위해 사용될 준비가 될 때, 프로세스 스테이션 (900) 으로 도입된다.
도 10은 반응 챔버 (1000) 의 또 다른 뷰를 도시한다. 기판들 상에 막들을 증착하는데 사용될 때, 기판 (미도시) 은 기판 캐리어 링 (1031) 상에 배치되고, 이 링은 페데스탈 (1004) (또한 기판 지지부로 지칭됨) 에 의해 지지되고, 이 페데스탈은 지지 필러 (support pillar) (1008) 에 의해 지지된다. 프로세스 가스들은 유입부 (1051) 를 통해서 반응 챔버로 제공된다. 이 실시예에서, 리모트 플라즈마 생성기 (1050) 는 플라즈마를 생성하는데 사용될 수도 있다. 유입부 (1051) 를 통과한 후에, 반응물질들 및 다른 프로세스 가스들이 샤워헤드 (1002) 를 통해서 반응 챔버 내로 들어간다. 반응 챔버의 내측 표면들 (적어도 샤워헤드 (1002), 지지부 (1008), 페데스탈 (1004), 기판 캐리어 링 (1031), 반응 챔버 (1000) 의 벽들, 바닥 및 천장을 포함함) 이 언더코팅 (1006) 으로 코팅된다. 언더코팅 (1006) 의 두께는 예시의 목적을 위해서 과장되었다.
상술한 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 11은 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (1102) 및 아웃바운드 로드록 (1104) 을 갖는 멀티-스테이션 프로세싱 툴 (1100) 의 실시예의 개략도를 도시한다. 대기압에서, 로봇 (1106) 은 포드 (1108) 를 통해 로딩된 카세트로부터 대기 포트 (atmospheric port) (1110) 를 통해 인바운드 로드록 (1102) 으로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (1106) 에 의해 인바운드 로드록 (1102) 내의 페데스탈 (1112) 상에 배치되고, 대기 포트 (1110) 는 폐쇄되고, 로드록은 펌핑 다운된다 (pump down). 인바운드 로드록 (1102) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1114) 내에 도입되기 전에 로드록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 게다가, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드록 (1102) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1114) 로의 챔버 이송 포트 (1116) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내에 웨이퍼를 배치시킨다. 도 11에서 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼가 직접적으로 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (1114) 는 도 11에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 1118로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 경우들에서, 가스 라인들은 다양한 스테이션들 사이에서 공유된다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드, CFD 프로세스 모드, 및 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (1114) 는 ALD 프로세스 스테이션과 CFD 프로세스 스테이션과 CVD 프로세스 스테이션 간의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (1114) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 11은 또한 프로세싱 챔버 (1114) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1190) 의 일 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (1190) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousel) 및 웨이퍼 핸들링 로봇들을 포함한다.
시스템 제어기
도 11은 또한 프로세스 툴 (1100) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1150) 의 일 실시예를 도시한다. 시스템 제어기 (1150) 는 하나 이상의 메모리 디바이스들 (1156), 하나 이상의 대용량 저장 디바이스들 (1154), 및 하나 이상의 프로세서들 (1152) 을 포함할 수도 있다. 프로세서 (1152) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1150) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1150) 는 대용량 저장 디바이스 (1154) 에 저장되고, 메모리 디바이스 (1156) 로 로딩되고, 프로세서 (1152) 상에서 실행되는 시스템 제어 소프트웨어 (1158) 를 실행한다. 시스템 제어 소프트웨어 (1158) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (1000) 에서 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 이들 프로그램된 프로세스들은 이로 제한되지 않지만 언더코팅의 증착과 관련된 프로세스들, 기판들 상에 막을 증착하는 것과 관련된 프로세스들 및 챔버를 세정하는 것과 관련된 프로세스들을 포함하는 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1158) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (1158) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (1158) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, ALD 언더코팅 증착 프로세스의 페이즈 각각은 시스템 제어기 (1150) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD/CFD 언더코팅 증착 프로세스 페이즈들에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 ALD/CFD 언더코팅 증착 레시피 페이즈 내에 포함될 수도 있다. 일부 실시예들에서, 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 레시피 페이즈들이 연속적으로 배열될 수도 있다.
시스템 제어기 (1150) 와 연관된 대용량 저장 디바이스 (1154) 및/또는 메모리 디바이스 (1156) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1118) 상에 기판을 로딩하고 기판과 프로세스 툴 (1100) 의 다른 부분들 사이의 공간을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다. 포지셔닝 프로그램은 언더코팅을 형성하고 기판들 상에 막들을 증착하고, 챔버를 세정하는데 필요한 대로 기판들을 반응 챔버 내로 그리고 외부로 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다. 이들은 ALD/CFD-기반 언더코팅 증착 동안에 그리고 세정 프로세스 동안에 기판들이 반응 챔버 내에 존재하지 않는 것을 보장하기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택적으로 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 반응 챔버 상에 언더코팅을 형성하는 동안 특정 가스들을 도입하기 위한 인스트럭션들 및 반응 챔버 내에서 기판 상에 막을 형성하는 동안에 동일한 가스들을 도입하기 위한 인스트럭션들을 포함한다. 프로세스 가스 제어 프로그램은 또한 언더코팅 형성 동안에 그리고 기판들 상에 막을 증착하는 동안에 동일한 레이트로 동일한 지속기간으로 이러한 가스들을 전달하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 반응 챔버 상에 언더코팅을 증착하는 동안의 압력과 동일한, 기판들 상에 막을 증착하는 동안의 압력을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 히터 제어 프로그램은 언더코팅 증착 동안에 그리고 기판들 상에 막을 증착하는 동안에 반응 챔버 및/또는 기판 홀더에서 동일한 온도를 유지하기 위한 코드를 포함할 수 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 반응 챔버 상에 언더코팅을 증착하는 동안에 그리고 기판들 상에 막을 증착하는 동안에 동일한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1150) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1150) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1150) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (1150) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키도록 이러한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 본 장치가 본 발명에 따른 방법을 수행하기 위해 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어서 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어서, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어서 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어서 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어서, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
상기에 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 실시될 것이다.
막의 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴들을 사용하여 인에이블되는 다음의 단계들 중 일부 또는 모두를 포함하고, 이 단계들은 (1) 스핀 온 툴 또는 스프레이 온 툴을 사용하여, 워크피스, 예를 들어, 기판 상에 형성된 실리콘 질화물 막을 가진 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계; (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 레지스트 패턴을 아래에 놓인 막 또는 워크피스에 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 단계를 포함한다. 일부 실시예들에서, 애시가능한 하드 마스크층 (예를 들어, 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예를 들어, 반사방지 층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에서 기술된 구성들 및/또는 방법들은 본질적으로 예시적이며, 이러한 특정한 실시예들 또는 예들은 한정적으로 해석되지 말아야 하는데 그 이유는 복수의 변형들이 가능하기 때문이다는 것이 이해된다. 본 명세서에서 기술된 특정 루틴들 또는 방법들은 임의의 개수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 시퀀스로, 다른 시퀀스로, 병행하여서 수행되거나 일부 경우들에서는 생략될 수도 있다. 마찬가지로, 상술된 프로세스들의 순서는 변화될 수도 있다.
본 개시의 논의 대상은 다양한 프로세스들, 시스템들 및 구성들, 및 본 명세서에서 개시된 다른 특징들, 기능들, 동작들, 특성들뿐만 아니라 이들의 모든 균등사항들의 모든 신규하면서 명백하지 않은 조합들 및 하위조합들을 포함한다.
실험
실험 결과들은 개시된 RF 보상 방법들이 배치의 과정 동안 보다 균일한 막 특성들을 달성하도록 배치의 과정 동안 RF 전력을 제어하기 위해 사용될 수 있다는 것을 나타낸다. 막 특성들의 경향은 막 두께 및 습식 에칭 레이트의 맥락에서 특히 감소되었다. 도 12는 2 개의 375 개의 기판 배치들에 대해 막 두께 대 웨이퍼 수와 관련된 실험 결과들을 나타낸다. 일 배치에서, 설정된 RF 전력은 전체 배치 동안 일정하였다. 다른 배치에서, 개시된 RF 보상 방법이 사용되었고 설정된 RF 전력은 배치의 과정 동안 감소되었다. 일정한 RF 전력이 사용되는 (즉, RF 보상이 없는) 경우에, 막 두께는 약 6.0 Å의 총 두께 차이 (최대 두께 빼기 최소 두께) 에 대해, 배치의 과정 동안 상당히 하향하는 경향을 띈다. 이들 결과들은 도 2에 도시된 것들과 매칭된다. 대조적으로, RF 보상 방법이 사용되는 경우에, 막 두께는 배치 동안 보다 더 안정하고, 단지 2.1 Å의 총 두께 차이를 갖는다. 상기-예측된 두께를 가진 (웨이퍼 수 281 내지 웨이퍼 수 321) 일 이상점 (outliner) 은, 증착 시스템이 이 때에 에러를 경험하기 때문에, 이 계산으로부터 배제되었다. 에러는 RF 보상과 관련되지 않았고, 따라서 이상점은 배치들을 비교할 때 관련 없다. 결과들은 개시된 RF 보상 방법들이 배치의 과정 동안 훨씬 더 안정한 막 두께를 달성하도록 사용될 수 있다는 것을 나타낸다. 일부 실시예들에서, 총 두께 차이는 배치 동안 증착된 평균 두께의 약 1 % 이하, 예를 들어, 약 0.75 % 이하를 나타낸다. 도 12의 예에서, 두께 차이는 RF 보상이 사용되지 않는 경우에 약 1.7 %인 것과 비교할 때, RF 보상이 사용되는 경우에 평균 두께의 약 0.6 %이다. 이러한 실시예들에서, 배치는 적어도 약 100 개의, 200 개의, 300 개의, 400 개의, 또는 500 개의 기판들을 포함할 수도 있다.
도 13은 도 12에 나타낸 2 개의 375 개의 웨이퍼 배치들의 과정 동안 굴절률 대 웨이퍼 수와 관련된 실험 결과들을 나타낸다. 상이한 경향 패턴들은 설정된 RF 전력이 일정한 경우 대 RF 보상 방법이 사용되는 경우에 보인다. 굴절률에서 웨이퍼-대-웨이퍼 불균일성은, 2 개의 배치들 사이에서 본질적으로 변화되지 않았다. 굴절률의 전체 차이 (최대 RI 빼기 최소 RI) 는, RF-보상 방법이 사용된 경우에 약간 개선되었다 (예를 들어, RF 보상이 있을 시 RI = 0.0015 및 RF 보상이 없을 시 0.0017). 굴절률은 막 품질에 대한 대용물 (proxy) 로 자주 고려된다. 따라서, 결과들은 최소한도로, 개시된 RF 보상 방법들이 막 품질의 감소를 유발하지 않는다는 것을 나타낸다.
도 14는 도 12 및 도 13에 대해 기술된 2 개의 375 개의 기판 배치들의 기판들에 대해 습식 에칭 레이트 비율 대 웨이퍼 수와 관련된 실험 결과들을 나타낸다. 도 14는 웨이퍼 수 376에서 시작하여, 추가의 배치들의 시작 부분과 관련된 데이터를 또한 나타낸다. 습식 에칭 레이트 비율 (및 아래에서, 건식 에칭 레이트 비율) 은, 열 산화물의 습식 에칭 레이트와 비교하여 관련된 재료의 습식 에칭 레이트를 지칭한다. 평균 습식 에칭 레이트 비율은 배치로부터 배치로 시프트하도록 예상되고 2 개의 y-축들은 배치 각각에 대응하도록 상이한 값들을 가진다. 설정된 RF가 일정하고 RF 보상이 사용되지 않는 경우에, 습식 에칭 레이트 비율은 시간에 걸쳐 하향하는 경향을 띄고, 전체 습식 에칭 레이트 비율 차이 (최대 WER 비율 빼기 최소 WER 비율) 는, 약 0.50이다. 대조적으로, RF 보상 방법이 사용되는 경우에, 습식 에칭 레이트 비율은 배치 동안 훨씬 더 안정하고, 전체 습식 에칭 레이트 비율 차이는 단지 0.25이다. 따라서, 습식 에칭 레이트 비율들의 간격 (spread) 은, RF 보상이 사용되는 경우에 절반만큼 감소되었다. 이 감소는 중요한 개선이다. 도 16은 습식 에칭 레이트와 관련된 추가의 결과들을 나타내고, 아래에서 논의된다. 특정한 실시예들에서, 배치의 막들에 대한 전체 습식 에칭 레이트 비율 차이는, 배치의 평균 습식 에칭 레이트 비율의 약 5 % 이하, 4 % 이하, 또는 3 % 이하이다. 이것은 약 0.4 미만 또는 약 0.3 미만인 전체 습식 에칭 레이트 비율 차이와 관련될 수도 있다. 도 14의 예에서, 전체 습식 에칭 레이트 비율은, RF 보상이 사용되지 않는 경우에 약 7 %인 것과 비교할 때, RF 보상이 사용되는 경우에 평균 습식 에칭 레이트 비율의 약 2.6 %이다. 이들 실시예들에서, 배치의 기판들의 수는 적어도 약 100, 200, 300, 400, 또는 500 개일 수도 있다.
도 15는 도 12 내지 도 14에 대해 기술된 2 개의 375 개의 기판 배치들에 대해 건식 에칭 레이트 비율 대 웨이퍼 수와 관련된 실험 결과들을 나타낸다. 습식 에칭 레이트 비율에 관하여 상기에 언급된 바와 같이, 건식 에칭 레이트 비율은 열 산화물의 건식 에칭 레이트와 비교하여 관련된 재료의 건식 에칭 레이트를 지칭한다. 건식 에칭 레이트 비율은 양 배치의 자명한 경향을 갖지 않았다. 건식 에칭 레이트 비율의 변화는, 또한 2 개의 배치들 사이에서 거의 변화되지 않았다. 결과들은 개시된 RF 보상 방법들이 건식 에칭 레이트들에서 임의의 경향을 유발하지 않는다는 것을 나타낸다.
도 16은 2 개의 배치들의 과정 동안 습식 에칭 레이트에 관한 추가의 데이터를 나타내고, 일 배치는 일정한 설정된 RF 전력 (RF 보상 없음) 을 사용하고, 일 배치는 RF 보상 방법을 사용한다. 여기서, 배치 크기는 약 100 개의 기판들보다 작다. RF 보상이 사용되지 않는 경우에, 습식 에칭 레이트 비율은 시간에 걸쳐 상당히 하향하는 경향을 띄고, 전체 습식 에칭 레이트 비율 차이는 약 0.39이다. 대조적으로, RF 보상 방법이 채용되는 경우에, 습식 에칭 레이트 비율은 훨씬 더 안정적이고, 전체 습식 에칭 레이트 비율 차이는 약 0.08이다. 이들 결과들은 도 14에 도시된 결과들을 지지하고 RF 보상이 배치의 과정 동안 습식 에칭 레이트의 경향을 상당히 감소시키도록 사용될 수 있다는 것을 제안한다. 여기서, 전체 습식 에칭 레이트 비율 차이는, RF 보상이 사용되지 않는 경우에 약 4.9 %인 것과 비교할 때 RF 보상이 사용되는 경우에 평균 습식 에칭 레이트 비율의 약 1 %를 나타낸다.

Claims (24)

  1. 반응 챔버 내에서 기판들의 배치 (batch) 상에 막을 증착하는 방법에 있어서,
    배치의 기판 각각 상에 막을 증착하는 단계를 포함하고,
    상기 기판 각각 상에 막을 증착하는 단계는:
    상기 반응 챔버 내로 하나 이상의 반응물질들을 증기 형태로 흘리는 단계; 및
    플라즈마를 생성하도록 RF 전력을 공급하고 상기 기판 상에 상기 막을 증착하는 반응을 구동하도록 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함하고,
    상기 배치의 추가의 기판들이 프로세싱되는 동안 상기 반응 챔버 내의 변화들이 확인되도록 (account for) 상기 배치의 상기 추가의 기판들이 프로세싱되는 동안, 상기 플라즈마를 생성하도록 공급된 기판 당 상기 RF 전력이 변화하고, 상기 플라즈마를 생성하도록 공급된 상기 RF 전력은, 상기 배치의 상기 기판들 상에 막을 증착하기 전에 수행된 캘리브레이션 (calibration) 절차에 기초하여 변화하고, 상기 캘리브레이션 절차는:
    (a) 기판들의 테스트 배치 상에 막을 증착하고 상기 테스트 배치의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (b) 다양한 레벨들의 RF 전력으로 제 2 세트의 기판들 상에 막을 증착하고 상기 제 2 세트의 기판들의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (c) 상기 RF 전력과 상기 동작 (b) 로부터의 상기 발생한 막 두께들 사이의 관계를 결정하는 동작,
    (d) 상기 테스트 배치의 상기 기판들에 대한 유효 RF 전력을 결정하도록 상기 동작 (c) 로부터의 상기 관계 및 상기 동작 (a) 로부터의 상기 막 두께들을 사용하는 동작, 및
    (e) 상기 테스트 배치의 상기 기판들에 대한 상기 유효 RF 전력에 적어도 부분적으로 기초하여 하나 이상의 미래의 (future) 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함하는, 막을 증착하는 방법.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 동작 (e) 에서 상기 하나 이상의 미래의 배치들은 제 1 항에 기재된 상기 배치를 포함하는, 막을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 캘리브레이션 절차는:
    상기 동작 (a) 동안, 제 1 RF 전력으로 상기 테스트 배치의 기판 각각 상에 막을 증착하는 동작,
    상기 동작 (e) 동안, 상기 테스트 배치의 상기 기판들에 대해 상기 동작 (d) 로부터의 상기 유효 RF 전력으로 상기 제 1 RF 전력의 제곱을 나눔으로써 상기 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위한 상기 특정된 RF 전력을 결정하는 동작을 더 포함하는, 막을 증착하는 방법.
  6. 제 1 항, 제 4 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 동작 (e) 는 상기 동작 (a) 에서 상기 테스트 배치의 상기 기판들에 대해 기록된 챔버 축적에 적어도 부분적으로 기초하여 상기 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함하는, 막을 증착하는 방법.
  7. 제 6 항에 있어서,
    상기 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위한 상기 특정된 RF 전력은, 상기 미래의 배치 동안 발생하는 챔버 축적에 적어도 부분적으로 기초하는, 막을 증착하는 방법.
  8. 제 6 항에 있어서,
    상기 동작 (e) 는 상기 특정된 RF 전력과 상기 챔버 축적 사이의 수학적 관계를 도출하도록 통계 분석을 수행하는 동작을 더 포함하고, 그리고 제 1 항에 기재된 상기 배치의 상기 기판들 상의 증착 동안 공급된 상기 RF 전력을 제어하도록 상기 수학적 관계를 사용하는 동작을 더 포함하는, 막을 증착하는 방법.
  9. 제 8 항에 있어서,
    상기 수학적 관계는 3차 다항식 관계를 포함하는, 막을 증착하는 방법.
  10. 제 1 항, 제 4 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 배치 및 상기 테스트 배치 각각은 적어도 100 개의 기판들을 포함하는, 막을 증착하는 방법.
  11. 제 1 항, 제 4 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 동작 (c) 는 상기 RF 전력과 상기 동작 (b) 로부터의 상기 발생한 막 두께들 사이의 선형 관계를 결정하도록 통계 분석을 수행하는 동작을 포함하는, 막을 증착하는 방법.
  12. 제 1 항, 제 4 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 배치는 적어도 100 개의 기판들을 포함하고, 상기 배치의 상기 기판들 상에 증착된 상기 막들은 1 % 이하만큼 상이한 두께들을 갖는, 막을 증착하는 방법.
  13. 제 1 항, 제 4 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 배치는 적어도 100 개의 기판들을 포함하고, 상기 배치의 상기 기판들 상에 증착된 상기 막들은 5 % 이하만큼 상이한 습식 에칭 레이트들을 갖는, 막을 증착하는 방법.
  14. 제 1 항, 제 4 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 배치의 기판 각각 상에 막을 증착한 후, 상기 반응 챔버의 내부 표면들 상에 축적된 재료를 제거하도록 상기 반응 챔버를 세정하는 단계를 더 포함하는, 막을 증착하는 방법.
  15. 제 14 항에 있어서,
    상기 반응 챔버를 세정한 후, 상기 반응 챔버의 내부 표면들 상에 언더코팅 (undercoat) 을 증착하는 단계를 더 포함하는, 막을 증착하는 방법.
  16. 배치의 기판들 상에 막을 증착하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버에 증기 상 반응물질들을 제공하기 위한 하나 이상의 유입부들;
    기판 지지부;
    RF 전력을 사용하여 플라즈마를 생성하도록 구성된 RF 생성기; 및
    배치의 추가의 기판들이 프로세싱되는 동안 발생하는 상기 반응 챔버 내의 변화들이 확인되도록 상기 배치의 상기 추가의 기판들이 프로세싱되는 동안 상기 RF 생성기에 의해 공급된 상기 RF 전력을 변화시키기 위한 인스트럭션들을 포함하는 제어기를 포함하고, 상기 RF 전력을 변화시키기 위한 상기 인스트럭션들은 캘리브레이션 절차에 기초하고, 상기 제어기는:
    (a) 기판들의 테스트 배치 상에 막을 증착하고 상기 테스트 배치의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (b) 다양한 레벨들의 RF 전력으로 제 2 세트의 기판들 상에 막을 증착하고 상기 제 2 세트의 기판들의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (c) 상기 RF 전력과 상기 동작 (b) 로부터의 상기 발생한 막 두께들 사이의 관계를 결정하는 동작,
    (d) 상기 테스트 배치의 상기 기판들에 대한 유효 RF 전력을 결정하도록 상기 동작 (c) 로부터의 상기 관계 및 상기 동작 (a) 로부터의 상기 막 두께들을 사용하는 동작, 및
    (e) 상기 테스트 배치의 상기 기판들에 대한 상기 유효 RF 전력에 적어도 부분적으로 기초하여 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작에 의한 상기 캘리브레이션 절차를 수행하기 위한 인스트럭션들을 더 포함하고,
    상기 배치의 상기 추가의 기판들이 프로세싱되는 동안 상기 RF 생성기에 의해 공급된 상기 RF 전력을 변화시키기 위한 상기 인스트럭션들은, 상기 동작 (e) 로부터의 상기 특정된 RF 전력을 인가하기 위한 인스트럭션들을 포함하는, 막을 증착하기 위한 장치.
  17. 삭제
  18. 삭제
  19. 제 16 항에 있어서,
    상기 캘리브레이션 절차를 수행하기 위한 상기 인스트럭션들은:
    상기 동작 (a) 동안, 제 1 RF 전력으로 상기 테스트 배치의 기판 각각 상에 막을 증착하기 위한 인스트럭션들,
    상기 동작 (e) 동안, 상기 테스트 배치의 상기 기판들에 대해 상기 동작 (d) 로부터의 상기 유효 RF 전력으로 상기 제 1 RF 전력의 제곱을 나눔으로써 상기 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위한 상기 특정된 RF 전력을 결정하기 위한 인스트럭션들을 더 포함하는, 막을 증착하기 위한 장치.
  20. 제 16 항 또는 제 19 항에 있어서,
    상기 동작 (e) 는 상기 동작 (a) 에서 상기 테스트 배치의 상기 기판들에 대해 기록된 챔버 축적에 적어도 부분적으로 기초하여 상기 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함하는, 막을 증착하기 위한 장치.
  21. 제 16 항 또는 제 19 항에 있어서,
    상기 캘리브레이션 절차는 제 2 반응 챔버 내에서 수행되는, 막을 증착하기 위한 장치.
  22. 제 16 항 또는 제 19 항에 있어서,
    상기 RF 생성기에 의해 공급된 상기 RF 전력을 변화시키기 위한 상기 인스트럭션들은, 상기 배치의 상기 추가의 기판들이 프로세싱되는 동안 상기 반응 챔버 내의 축적의 변화량에 기초하여 상기 RF 전력을 변화시키는 것을 포함하는, 막을 증착하기 위한 장치.
  23. 반응 챔버 내에서 기판들의 배치 (batch) 상에 막을 증착하는 방법에 있어서,
    캘리브레이션 절차를 수행하는 단계를 포함하고,
    상기 캘리브레이션 절차는,
    (a) 기판들의 테스트 배치 상에 막을 증착하고 상기 테스트 배치의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (b) 다양한 레벨들의 RF 전력으로 제 2 세트의 기판들 상에 막을 증착하고 상기 제 2 세트의 기판들의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (c) 상기 RF 전력과 상기 동작 (b) 로부터의 상기 발생한 막 두께들 사이의 관계를 결정하는 동작,
    (d) 상기 테스트 배치의 상기 기판들에 대한 유효 RF 전력을 결정하도록 상기 동작 (c) 로부터의 상기 관계 및 상기 동작 (a) 로부터의 상기 막 두께들을 사용하는 동작, 및
    (e) 상기 테스트 배치의 상기 기판들에 대한 상기 유효 RF 전력에 적어도 부분적으로 기초하여 하나 이상의 미래의 (future) 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작을 포함하는, 막을 증착하는 방법.
  24. 배치의 기판들 상에 막을 증착하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버에 증기 상 반응물질들을 제공하기 위한 하나 이상의 유입부들;
    기판 지지부;
    RF 전력을 사용하여 플라즈마를 생성하도록 구성된 RF 생성기; 및
    제어기를 포함하고,
    상기 제어기는:
    (a) 기판들의 테스트 배치 상에 막을 증착하고 상기 테스트 배치의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (b) 다양한 레벨들의 RF 전력으로 제 2 세트의 기판들 상에 막을 증착하고 상기 제 2 세트의 기판들의 기판 각각에 대해 발생한 막 두께를 기록하는 동작,
    (c) 상기 RF 전력과 상기 동작 (b) 로부터의 상기 발생한 막 두께들 사이의 관계를 결정하는 동작,
    (d) 상기 테스트 배치의 상기 기판들에 대한 유효 RF 전력을 결정하도록 상기 동작 (c) 로부터의 상기 관계 및 상기 동작 (a) 로부터의 상기 막 두께들을 사용하는 동작, 및
    (e) 상기 테스트 배치의 상기 기판들에 대한 상기 유효 RF 전력에 적어도 부분적으로 기초하여 하나 이상의 미래의 배치들의 기판 각각에 공급하기 위해 특정된 RF 전력을 결정하는 동작에 의한 캘리브레이션 절차를 수행하기 위한 인스트럭션들을 포함하는, 막을 증착하기 위한 장치.
KR1020230004652A 2014-09-30 2023-01-12 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 KR102612832B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/502,947 US9624578B2 (en) 2014-09-30 2014-09-30 Method for RF compensation in plasma assisted atomic layer deposition
US14/502,947 2014-09-30
KR1020150135292A KR102489449B1 (ko) 2014-09-30 2015-09-24 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150135292A Division KR102489449B1 (ko) 2014-09-30 2015-09-24 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20230010807A KR20230010807A (ko) 2023-01-19
KR102612832B1 true KR102612832B1 (ko) 2023-12-11

Family

ID=55583796

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150135292A KR102489449B1 (ko) 2014-09-30 2015-09-24 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
KR1020230004652A KR102612832B1 (ko) 2014-09-30 2023-01-12 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150135292A KR102489449B1 (ko) 2014-09-30 2015-09-24 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치

Country Status (6)

Country Link
US (1) US9624578B2 (ko)
JP (1) JP6758808B2 (ko)
KR (2) KR102489449B1 (ko)
CN (1) CN105463408B (ko)
SG (1) SG10201507987RA (ko)
TW (1) TWI725000B (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
JP7062658B2 (ja) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
WO2018112463A1 (en) * 2016-12-16 2018-06-21 Applied Materials, Inc. Method to enable high temperature processing without chamber drifting
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
JP2019114692A (ja) 2017-12-25 2019-07-11 東京エレクトロン株式会社 成膜方法
JP7089881B2 (ja) 2018-01-10 2022-06-23 東京エレクトロン株式会社 成膜方法
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10847352B2 (en) * 2018-08-02 2020-11-24 Lam Research Corporation Compensating chamber and process effects to improve critical dimension variation for trim process
CN108889519B (zh) * 2018-08-10 2021-05-25 广州市盛华实业有限公司 制膜单元、制膜系统及制膜方法
WO2020089454A1 (en) * 2018-11-02 2020-05-07 Technische Universiteit Eindhoven Tunable source of intense, narrowband, fully coherent, soft x-rays
WO2020242838A1 (en) * 2019-05-24 2020-12-03 Lam Research Corporation Electrochemical deposition system including optical probes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN114746577A (zh) * 2019-12-04 2022-07-12 朗姆研究公司 压强批量补偿以稳定修整和沉积工艺的cd变化
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113529057B (zh) * 2020-04-13 2023-02-28 长鑫存储技术有限公司 半导体制造方法及多片式沉积设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001007089A (ja) 1999-06-25 2001-01-12 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2001073132A (ja) * 1999-08-31 2001-03-21 Matsushita Electric Ind Co Ltd スパッタ方法及びその装置
JP2008056949A (ja) * 2006-08-29 2008-03-13 Mitsubishi Heavy Ind Ltd 薄膜製造方法及び薄膜製造システム
WO2008047838A1 (fr) 2006-10-19 2008-04-24 Tokyo Electron Limited Procédé de formation de film en Ti et milieu de stockage
JP2008288340A (ja) * 2007-05-16 2008-11-27 Panasonic Corp プラズマ処理装置、プラズマ処理方法、及び洗浄時期予測プログラム

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121332A (ja) * 1991-10-24 1993-05-18 Canon Inc 機能性堆積膜の形成方法及び形成装置
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US7160521B2 (en) * 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7479191B1 (en) 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
EP2041774A2 (en) * 2006-07-03 2009-04-01 Applied Materials, Inc. Cluster tool for advanced front-end processing
KR20100014501A (ko) * 2007-03-22 2010-02-10 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
CA2751182A1 (en) * 2010-09-01 2012-03-01 Rehrig Pacific Company Nestable container
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
EP2694701B1 (en) * 2011-04-07 2015-11-25 Picosun Oy Deposition reactor with plasma source
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140069459A1 (en) 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001007089A (ja) 1999-06-25 2001-01-12 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2001073132A (ja) * 1999-08-31 2001-03-21 Matsushita Electric Ind Co Ltd スパッタ方法及びその装置
JP2008056949A (ja) * 2006-08-29 2008-03-13 Mitsubishi Heavy Ind Ltd 薄膜製造方法及び薄膜製造システム
WO2008047838A1 (fr) 2006-10-19 2008-04-24 Tokyo Electron Limited Procédé de formation de film en Ti et milieu de stockage
JP2008288340A (ja) * 2007-05-16 2008-11-27 Panasonic Corp プラズマ処理装置、プラズマ処理方法、及び洗浄時期予測プログラム

Also Published As

Publication number Publication date
TWI725000B (zh) 2021-04-21
KR20160038783A (ko) 2016-04-07
KR20230010807A (ko) 2023-01-19
US9624578B2 (en) 2017-04-18
JP2016072625A (ja) 2016-05-09
US20160090650A1 (en) 2016-03-31
JP6758808B2 (ja) 2020-09-23
TW201631204A (zh) 2016-09-01
SG10201507987RA (en) 2016-04-28
CN105463408A (zh) 2016-04-06
KR102489449B1 (ko) 2023-01-16
CN105463408B (zh) 2019-11-12

Similar Documents

Publication Publication Date Title
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10629429B2 (en) Selective deposition of silicon oxide
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
US20210395885A1 (en) Throughput improvement with interval conditioning purging
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
TWI835888B (zh) 沉積方法
JP7290634B2 (ja) リアクタの処理バッチサイズを増加させるための方法および装置
US20230066676A1 (en) Core removal

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant