CN115244664A - 用于减少euv图案化缺陷的多层硬掩模 - Google Patents

用于减少euv图案化缺陷的多层硬掩模 Download PDF

Info

Publication number
CN115244664A
CN115244664A CN202180017694.8A CN202180017694A CN115244664A CN 115244664 A CN115244664 A CN 115244664A CN 202180017694 A CN202180017694 A CN 202180017694A CN 115244664 A CN115244664 A CN 115244664A
Authority
CN
China
Prior art keywords
layer
hard mask
hardmask
substrate
underlying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180017694.8A
Other languages
English (en)
Inventor
巴斯卡·纳加布海拉瓦
菲利普·弗里德尔
埃基米尼·阿努贾·德席尔瓦
詹尼弗·丘奇
多米尼克·梅茨勒
内尔松·菲力克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
International Business Machines Corp
Original Assignee
Lam Research Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp, International Business Machines Corp filed Critical Lam Research Corp
Publication of CN115244664A publication Critical patent/CN115244664A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的各种实施方案涉及在使用极紫外光致抗蚀剂对半导体衬底进行图案化的背景下利用多层硬掩模的方法、装置和系统。多层硬掩模包括(1)包括含金属材料,例如金属氧化物、金属氮化物或金属氧氮化物的上层,和(2)包含无机介电含硅材料的下层。多层硬掩模的这些层共同提供了优异的蚀刻选择性并减少了诸如微桥和断线之类的缺陷的形成。某些实施方案涉及多层硬掩模的沉积。其他实施方案涉及多层硬掩模的蚀刻。一些实施方案涉及多层硬掩模的沉积和蚀刻。

Description

用于减少EUV图案化缺陷的多层硬掩模
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
随着半导体器件尺寸不断缩小,需要新的加工技术。例如,光刻技术随着时间的推移而发展,以产生更小、更高质量的特征。极紫外(EUV)光刻是一个新兴领域,它使用一系列极紫外波长以对衬底进行图案化。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文的多种实施方案涉及用于加工半导体衬底的方法、装置和系统。特别地,描述了一种多层硬掩模,特别是与极紫外光致抗蚀剂一起用于图案化应用。
在所公开实施方案的一个方面中,提供了一种处理衬底的方法,该方法包括:(a)接收衬底,所述衬底包括:(i)下伏材料,(ii)位于所述下伏材料上的有机平坦化层,(iii)位于所述有机平坦化层上方的多层硬掩模,该多层硬掩模包括:(1)包含无机介电含硅材料的下层,和(2)包含金属氧化物、金属氮化物或金属氧氮化物的上层,以及(iv)位于所述多层硬掩模上方的极紫外(EUV)光致抗蚀剂层,其中将所述EUV光致抗蚀剂层图案化以包括凹陷特征,并且其中所述多层硬掩模的所述上层的部分暴露在所述凹陷特征内;(b)蚀刻所述多层硬掩模的所述上层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述上层并且暴露所述多层硬掩模的所述下层的部分;(c)蚀刻所述多层硬掩模的所述下层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述下层中并且暴露所述有机平坦化层的部分;(d)蚀刻所述有机平坦化层的所述暴露部分,从而将所述凹陷特征延伸到所述有机平坦化层中并且暴露所述下伏材料的部分;以及(e)蚀刻所述下伏层材料的所述暴露部分,从而将所述凹陷特征延伸到所述下伏材料中。
在一些实施方案中,所述多层硬掩模的所述下层可以包括选自由非晶硅、氧化硅、碳化硅、碳氧化硅、氮化硅、氮氧化硅及其组合组成的群组的材料。在这些或其他实施方案中,所述多层硬掩模的所述下层可以具有约10nm或更小的厚度。在这些或其他实施方案中,所述多层硬掩模的所述上层的金属氧化物、金属氮化物或金属氧氮化物可以包括选自由钛、钽、铪、锡、钌和它们的组合组成的群组的金属。在这些或其他实施方案中,所述多层硬掩模的所述上层具有约10nm或更小的厚度。
在某些情况下,该方法可能包括进一步的步骤。例如,该方法还可以包括:在(b)之前,将所述衬底暴露于第一等离子体以去除所述凹陷特征内的多余的EUV光致抗蚀剂。在这些或其他实施方案中,蚀刻所述多层硬掩模的所述上层的所述暴露部分可以包括将所述衬底暴露于第二反应物,所述第二反应物包括含氯蚀刻剂和/或含溴反应物。在这些或其他实施方案中,蚀刻所述多层硬掩模的所述上层的暴露部分可以包括将所述衬底暴露于第二等离子体。在这些或其他实施方案中,蚀刻所述多层硬掩模的所述下层的所述暴露部分可以包括将所述衬底暴露于第三反应物,所述第三反应物包括含氟反应物和/或含氯反应物。在这些或其他实施方案中,蚀刻所述多层硬掩模的所述下层的所述暴露部分可以包括将所述衬底暴露于第三等离子体。在这些或其他实施方案中,所述多层硬掩模的所述上层和所述下层均可以具有约10nm或更小的厚度。
在某些实现方案中,所述下伏材料可以包括位于所述有机平坦化层下方的氧化物层、位于所述氧化物层下方的氮化钛层或氧氮化钛层,以及位于所述氮化钛层或氧氮化钛层下方的氮化硅层,并且蚀刻所述下伏材料的所述暴露部分可以将所述凹陷特征延伸到所述氧化物层中并延伸到所述氮化钛层或氧氮化钛层中,并且其中至少(b)和(c)中的所述蚀刻可以由电感耦合等离子体驱动。在这些或其他实施方案中,所述方法还可以包括在所述凹陷特征延伸到所述氧化物层和所述氮化钛层或氮氧化钛层中之后去除所述有机平坦化层。
在某些实现方案中,所述下伏材料可以包括位于所述有机平坦化层下方的牺牲硬掩模层、位于所述牺牲硬掩模层下方的超低k介电层、以及位于所述超低k介电层下方的盖层,并且蚀刻下伏材料的暴露部分可以将所述凹陷特征延伸到所述牺牲硬掩模层、所述超低k介电层和所述盖层中,并且至少在(b)和(c)中的所述蚀刻可以由电容耦合等离子体驱动。在这些或其他情况下,所述牺牲硬掩模层可以包括氮化硅。在这些或其他情况下,所述方法还可以包括在所述凹陷特征延伸到所述牺牲硬掩模层、所述超低k介电层和所述盖层中之后去除所述有机平坦化层。
在所公开实施方案的另一方面,提供了一种处理衬底的方法,该方法包括:(a)接收衬底,在所述衬底上具有下伏材料;(b)在所述下伏材料上沉积多层硬掩模的下层,其中所述多层硬掩模的所述下层包括无机介电含硅材料;(c)在所述下伏材料上沉积所述多层硬掩模的上层,其中所述多层硬掩模的所述上层包括金属氧化物、金属氮化物或金属氧氮化物;以及(d)在所述多层硬掩模的所述上层沉积极紫外光致抗蚀剂。
在一些实施方案中,所述多层硬掩模的所述下层和所述上层中的每一者具有约10nm或更小的厚度。在这些或其他情况下,所述多层硬掩模的所述下层和所述上层中的每一者可以通过原子层沉积或等离子体增强原子层沉积来沉积。在其他情况下,这些层中的一者或多者可以通过化学气相沉积或等离子体增强化学气相沉积、或通过物理气相沉积等来沉积。
在所公开的实施方案的另一方面,提供了一种用于处理衬底的装置,该装置包括:(a)反应室;(b)位于所述反应室内的衬底支撑件;(c)等离子体发生器,其被配置为在所述反应室内产生等离子体;(d)所述反应室的一个或多个入口;以及(e)具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信连接,并且所述存储器存储用于控制所述至少一个处理器以引起本文所述的任何方法的计算机可执行指令。
在所公开实施方案的另一方面,提供了一种用于处理衬底的装置,该装置包括:(a)反应室;(b)位于所述反应室内的衬底支撑件;(c)等离子体发生器,其被配置为在所述反应室内产生等离子体;(d)所述反应室的一个或多个入口;以及(e)具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以引起:(i)将所述衬底接收在所述反应室中,所述衬底包括:(1)下伏材料,(2)位于所述下伏材料上的有机平坦化层,(3)位于所述有机平坦化层上方的多层硬掩模,该多层硬掩模包括:(a)包含无机介电含硅材料的下层,和(b)包含金属氧化物、金属氮化物或金属氧氮化物的上层,以及(4)位于所述多层硬掩模上方的极紫外(EUV)光致抗蚀剂层,其中将所述EUV光致抗蚀剂层图案化以包括凹陷特征,并且其中所述多层硬掩模的所述上层的部分暴露在所述凹陷特征内;(ii)蚀刻所述多层硬掩模的所述上层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述上层并且暴露所述多层硬掩模的所述下层的部分;(iii)蚀刻所述多层硬掩模的所述下层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述下层中并且暴露所述有机平坦化层的部分;(iv)蚀刻所述有机平坦化层的所述暴露部分,从而将所述凹陷特征延伸到所述有机平坦化层中并且暴露所述下伏材料的部分;以及(v)蚀刻所述下伏层材料的所述暴露部分,从而将所述凹陷特征延伸到所述下伏材料中。
在所公开的实施方案的另一方面中,提供了一种用于处理衬底的系统,该系统包括:(a)被配置成执行沉积的第一反应室;(b)被配置成执行蚀刻的第二反应室;和(c)具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以引起:(i)在所述第一反应室中接收衬底,(ii)在所述衬底上沉积多层硬掩模的下层,其中所述多层硬掩模的所述下层包括无机介电含硅材料,(iii)在所述多层硬掩模的所述下层上沉积所述多层硬掩模的上层,其中所述多层硬掩模的所述上层包括金属氧化物、金属氮化物或金属氧氮化物,(iv)在所述多层硬掩模的所述上层的上面沉积极紫外光致抗蚀剂层,(v)将所述极紫外光致抗蚀剂层进行图案化以限定凹陷特征,从而暴露所述多层硬掩模的所述上层的部分,(vi)将所述衬底转移到所述第二反应室;(vii)蚀刻所述多层硬掩模的所述上层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述上层并且暴露所述多层硬掩模的所述下层的部分;以及(viii)蚀刻所述多层硬掩模的所述下层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述下层中并且暴露位于所述多层硬掩模的所述下层下方的所述衬底的部分。
在一些实施方案中,所述第二反应室可以包括电感耦合等离子体发生器,并且所述存储器可以存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以导致产生第一电感耦合等离子体以在(vii)中蚀刻所述多层硬掩模的所述上层的所述暴露部分,并导致产生第二电感耦合等离子体以在(viii)中蚀刻所述多层硬掩模的所述下层的所述暴露部分。在一些其他实施方案中,所述第二反应室可以包括电容耦合等离子体发生器,并且所述存储器可以存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以导致产生第一电容耦合等离子体以在(vii)中蚀刻所述多层硬掩模的所述上层的所述暴露部分,并导致产生第二电容耦合等离子体以在(viii)中蚀刻所述多层硬掩模的所述下层的所述暴露部分。
下文参考附图进一步描述这些和其他方面。
附图说明
图1是描述根据本文某些实施方案的使用多层硬掩模将凹陷特征蚀刻到衬底中的方法的流程图。
图2A-2H描绘了当其经历根据图1的方法的处理时的部分制造的半导体衬底。
图3A-3E示出了根据某些实施方案的经受硬掩模打开处理的部分制造的半导体衬底。
图4A-4D示出了根据某些实施方案的在经历通孔蚀刻处理时的部分制造的半导体衬底。
图5说明了可用于沉积本文所述的各种层的反应室。
图6描绘了可用于沉积本文所述的各种层的多站处理工具。
图7A-7C显示了可用于蚀刻本文所述的各种层的反应室。
图8显示了可用于蚀刻本文所述的各种层的替代反应室。
图9示出了具有多个模块的半导体处理集群架构,每个模块可以被配置为沉积和/或蚀刻本文所述的各种层。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的实施方案。在其他情况下,没有详细描述公知的处理操作,以免不必要地使所公开的实施方案难以理解。尽管将结合具体实施方案来描述所公开的实施方案,但应当理解,其并非意在限制所公开的实施方案。
极紫外(EUV)光刻技术在半导体加工领域越来越受欢迎。然而,EUV技术仍面临诸多挑战。例如,在实践传统的EUV技术时,EUV抗蚀剂层的厚度是在三层和四层图案化堆叠件中将图案转移到下伏的硬掩模膜的主要限制。特别是,抗蚀剂浮渣和抗蚀剂线的局部变薄会导致不希望的微桥和断线。这些问题可能发生的一种情况是在低于30nm节距的情况下对特征进行图案化。在这些尺寸下,抗蚀剂高度不足以在不形成微桥(例如,开路)或由于抗蚀剂变薄(例如,短路)而导致的断线的情况下打开硬掩模(例如,将图案从EUV抗蚀剂转移到硬掩模)。因此,传统的EUV加工技术会导致大量缺陷以及相关的低产量和高加工成本。
在本文的多种实施方案中,新型硬掩模与EUV图案化结合使用以将图案从EUV抗蚀剂层转移到硬掩模层,然后可以将其转移到下伏的材料中。硬掩模包括多个层,它们共同提供了EUV抗蚀剂和硬掩模材料之间的改进选择性,从而允许通过各个层进行高保真的图案转移。
还应该理解,虽然本公开涉及光刻图案化技术和以EUV光刻为例的材料,但它也适用于其他下一代光刻技术。除了包括目前使用和研发的标准13.5nm EUV波长在内的EUV之外,与此类光刻最相关的辐射源是DUV(深紫外),它通常是指使用248nm或193nm准分子激光源,即X射线,正式包括X射线范围的较低能量范围下的EUV,以及可以覆盖很宽能量范围的电子束。
硬掩模至少包括上层和下层。硬掩模的上层包括含金属材料。示例性的含金属材料包括金属氧化物、金属氮化物和金属氧氮化物。含金属材料中的金属可以包括钛、钽、铪、锡、锌、钼、钌等,以及它们的组合。因此,硬掩模的上层可以包括例如氧化钛、氮化钛、氧氮化钛、氧化钽、氮化钽、氧氮化钽、氧化铪、氮化铪、氧氮化铪、氧化锡、氮化锡、氧氮化锡、氧化钌、氮化钌、氮氧化钌等。硬掩模的上层的含金属材料在EUV光刻曝光期间提供二次电子生成。这种二次电子生成是有益的,因为它减少了在曝光期间形成的抗蚀剂浮渣的量,从而提高了特征被充分打开的程度。此外,EUV曝光期间的二次电子生成提供了剂量对尺寸的优势,这意味着由于硬掩模的上层的含金属材料产生的电子增加,因而可以在较低的曝光剂量下实现相同程度的EUV抗蚀剂去除。与所公开的硬掩模相关的另一个好处是,硬掩模的上层中的含金属材料使得EUV抗蚀剂能够直接粘附在硬掩模的上层上,而无需使用额外的有机粘附层。
硬掩模的上层可以通过任何可用的沉积方法形成。在某些实施方案中,硬掩模的上层通过原子层沉积形成,该原子层沉积可以由等离子体能量或热能驱动。在其他实施方案中,硬掩模的上层可以通过等离子体或热增强化学气相沉积、或物理气相沉积或旋涂来形成。在多种实施方案中,硬掩模的上层具有约10nm或更小的厚度。
硬掩模的下层包括无机介电含硅材料。示例性的含硅材料包括但不限于非晶硅(例如a-Si)、氧化硅、碳化硅、碳氧化硅、氮化硅和氮氧化硅。硬掩模的下层在图案转移过程中相对于下层提供了优异的选择性。与通过传统硬掩模所实现的相比,这种高度的选择性确保了高质量的图案转移,大大减少了形成的短路数量并提高了产量。
硬掩模的下层可以通过任何可用的沉积方法形成。在某些实施方案中,硬掩模的下层通过原子层沉积形成,该原子层沉积可以由等离子体能量或热能驱动。在其他实施方案中,硬掩模的下层可以通过等离子体或热增强化学气相沉积、或物理气相沉积或旋涂来形成。在多种实施方案中,硬掩模的下层具有约10nm或更小的厚度。
图1是根据本文各个实施方案的图案化衬底的方法的流程图。图2A-2H描绘了经过图1所示方法的部分制造的半导体衬底。图1的操作将参照图2A-2H中所示的衬底200来描述。
图1的方法以图2A所示的衬底200开始。衬底200包括下伏材料201。下伏材料201可以在其上表面包括有机平坦化层(OPL)。在一些情况下,有机平坦化层可以包括旋涂玻璃、无定形碳和/或类似材料。下伏材料201还可以包括一系列层(例如,一层或多层氧化物、氮化物、超低k介电材料等),特征将形成在这些层中。这一系列层的组成和布局取决于应用。下面提供了与特定实现方案相关的进一步的细节。
在操作101,将多层硬掩模202的下层202b沉积在下伏材料201上,如图2B所示。如上所述,下层202b可以通过原子层沉积或等离子体增强原子层沉积等方法形成。下层202b包括如上所述的含金属材料。接下来,在操作103,将多层硬掩模202的上层202a沉积在多层硬掩模202的下层202b上,如图2C所示。上层202a可以通过原子层沉积或等离子体增强原子层沉积等方法形成。上层202a包括如上所述的无机介电含硅材料。此时,多层硬掩模202被完全沉积。然后,在操作105,在多层硬掩模202的上层202a上沉积并图案化光致抗蚀剂203层,如图2D所示。在多种实施方案中,光致抗蚀剂203可以是极紫外(EUV)光致抗蚀剂。在某些实施方案中,光致抗蚀剂203在沉积时可具有介于约10-50nm之间的厚度。可以通过光刻技术将光致抗蚀剂203图案化。
在将光致抗蚀剂203图案化之后,通常在衬底200上剩余一些多余的光致抗蚀剂(如箭头203a所示)。该多余的光致抗蚀剂203a可以位于图案化的光致抗蚀剂203中的相邻突出特征之间,如图2D所示。这种配置可以被称为微桥接缺陷(或微桥),因为多余的光致抗蚀剂203a在光致抗蚀剂203中的相邻突出特征之间形成了小桥。多余的光致抗蚀剂203a可能是沉积在整个衬底200上的光致抗蚀剂203的不均匀厚度的结果。(例如,光致抗蚀剂203较薄的区域在光刻图案化之后可能具有减少的光致抗蚀剂203a,这可能导致线图案的中断)。
在操作107中,去除多余的光致抗蚀剂203a,如图2E所示。在多种实施方案中,在包括将衬底200暴露于等离子体的除渣操作中去除多余的光致抗蚀剂203a。有利地,多层硬掩模202的上层202a对用于去除多余的光致抗蚀剂203a的化学物质/条件具有高度耐受性。因而,在去除多余的光致抗蚀剂203a时,多层硬掩模202的上层202a基本上没有被蚀刻。因此,用于去除多余的光致抗蚀剂203a的条件可能比其他硬掩模(例如硅基硬掩模)可接受的条件更强/更苛刻,在类似的加工条件下可能会损坏这些硬掩模。
不同类型的等离子体可用于不同的应用。例如,在某些情况下,可以使用电感耦合等离子体来完成除渣。可以使用电感耦合等离子体的一个示例是在硬掩模打开处理的背景下。下面进一步描述的图3A-3E提供了与这些实施方案有关的附加细节。在其他情况下,可以使用电容耦合等离子体来完成除渣。可以使用电容耦合等离子体的一个示例是在后端电介质蚀刻的背景下,例如用于蚀刻通孔的处理。下面进一步描述的图4A-4D提供了与这些实施方案有关的附加细节。
选择除渣化学物质和等离子体条件,使得它们对多层硬掩模202的上层202a具有选择性(例如,使得多余的光致抗蚀剂203a从相邻的光致抗蚀剂特征之间被去除,而上层202a基本保留)。在使用感应耦合等离子体发生除渣的一个示例中,除渣化学物质可以包括例如Cl2、HBr、CF4和O2的任何组合。可以将低偏压(例如,约100V或更小)施加到衬底。用于产生电感耦合等离子体的功率可以是约500W或更小。偏置和/或等离子体功率可以是脉冲的,例如以最小化对光致抗蚀剂的损坏并改善粗糙度。在使用电容耦合等离子体进行除渣的另一示例中,除渣化学可以包括CF4、N2、H2、O2、CxFy等的任何组合。可以将60MHz的低偏压施加到衬底。用于产生电容耦合等离子体的功率可以介于约100-300W之间。偏压可以是脉冲式的,以使光致抗蚀剂损坏最小化并改善粗糙度。尽管偏压和/或等离子体产生功率可能相对较低,但它们可能高于常规掩模材料本来所使用的,因而常规掩模材料可能更容易损坏。
至少由于用于去除多余的光致抗蚀剂203a的相对强的加工条件,以及在EUV曝光期间从上层202a产生二次电子,多层硬掩模202显著减少了衬底上的微桥和类似缺陷的形成。这代表了对传统技术的显著改进。
返回图1,该方法继续进行操作109,其中凹陷特征204被各向异性地蚀刻到多层硬掩模202的上层202a中,如图2F所示。蚀刻处理可以是反应离子蚀刻。在该蚀刻操作期间,光致抗蚀剂203充当掩模,在存在光致抗蚀剂203的区域中保护多层硬掩模202的上层202a。因此,蚀刻被限制在凹陷特征204的区域,其中光致抗蚀剂203先前已被去除。操作109中的蚀刻是高度选择性的,使得上层202a的材料被去除而光致抗蚀剂203基本上被保留。这种高度的选择性有助于防止换行。在该蚀刻操作期间可能会消耗一定量的光致抗蚀剂203。
在多种实施方案中,用于蚀刻上层202a的化学物质可以包括基于氯的化学物质和/或基于溴的化学物质。不同类型的等离子体可用于不同的应用。例如,在一些情况下,上层202a可以使用电感耦合等离子体来蚀刻。可以使用电感耦合等离子体的一个示例是在硬掩模打开处理的背景下。下面进一步描述的图3A-3E提供了与这些实施方案有关的附加细节。在其他情况下,可以使用电容耦合等离子体蚀刻上层202a。可以使用电容耦合等离子体的一个示例是在后端电介质蚀刻的背景下,例如用于蚀刻通孔的处理。下面进一步描述的图4A-4D提供了与这些实施方案有关的附加细节。
在电感耦合等离子体用于蚀刻上层202a的情况下,可以向反应室提供以下气体的任何组合:HBr、Cl2、H2、CxHy。在某些情况下可以提供额外的反应物或其他气体。衬底可以被偏置在约300V或更小,并且电感耦合等离子体可以在约300W或更小的功率下产生。等离子体可以是脉冲式的,占空比为约30%或更小。反应室中的压强可以介于约5-20毫托之间(例如,约0.66-2.67Pa)。衬底支撑件可以保持在约30℃或更低的温度。在电容耦合等离子体用于蚀刻上层202a的情况下,用于蚀刻的化学物质可以包括CxHy、H2和CxFy的任何组合。在某些情况下可以提供额外的反应物或其他气体。可以以60MHz和27MHz施加较低功率,例如介于约100-800W之间的功率电平。等离子体可以以约20-30%的占空比被脉冲化。反应室中的压强可以在约20-80毫托(例如,约2.66-10.7Pa)之间。
接下来,在操作111,凹陷特征204通过对其进行各向异性蚀刻而延伸到多层硬掩模202的下层202b中,如图2G所示。该蚀刻处理可以是反应离子蚀刻处理。在该蚀刻操作期间,光致抗蚀剂203和多层硬掩模的上层202a充当掩模,保护存在这些材料的区域中的下层202b。因此,蚀刻被约束在未受保护的凹陷特征204的区域。当凹陷特征204被蚀刻到多层硬掩模202的下层202b中时,光致抗蚀剂203可能被部分消耗。在其他实施方案中,光致抗蚀剂203可以在该操作期间被完全消耗(例如,在这种情况下,图2G中所示的衬底将缺少光致抗蚀剂203)。在这样的实施方案中,上层202a继续充当掩模,在存在上层202a的区域中保护下层202b。操作111中的蚀刻是高度选择性的,使得下层202b的材料被去除,而上层202a的材料基本上被保留。这种高度的选择性降低了形成不需要的断线的可能性。
在多种实现方案中,用于蚀刻下层202b的化学物质可以包括基于氟的化学物质和/或基于氯的化学物质。通常,用于蚀刻上层202a的化学物质不同于用于蚀刻下层202b的化学物质。例如,上层202a可以用基于氯的化学物质蚀刻,而下层202b可以用基于氟的化学物质蚀刻。在另一示例中,上层202a可以用基于溴的化学物质进行蚀刻,而下层202b可以用基于氯的化学物质进行蚀刻。在另一示例中,上层202a可以用基于溴的化学物质蚀刻,而下层202b可以用基于氯的化学物质蚀刻。上面提供了示例性的基于氯和基于溴的化学物质。示例性的基于氟的化学物质包括,例如,CxFy和CxHyFz
不同类型的等离子体可用于不同的应用。例如,在一些情况下,可以使用电感耦合等离子体蚀刻下层202b。可以使用电感耦合等离子体的一个示例是在硬掩模打开处理的背景下。如上所述,图3A-3E提供了与此类实施方案相关的附加细节。在其他情况下,可以使用电容耦合等离子体蚀刻下层202b。可以使用电容耦合等离子体的一个示例是在后段制程电介质蚀刻的背景下,例如用于蚀刻通孔的处理。图4A-4D提供了与此类实施方案相关的附加细节。
选择用于蚀刻下层202b的化学物质,使其对光致抗蚀剂203和上层202a具有选择性(例如,使得化学物质以去除下层202b为目标)。这种选择性有助于断线。在感应耦合等离子体中蚀刻下层202b的实施方案中,所使用的化学物质可以包括CxFy、O2、N2、CxHyFz、Ar、SF6和NF3的任何组合。在某些情况下可以提供额外的反应物或其他气体。衬底可以被偏置在约300V或更小,并且电感耦合等离子体可以在约300W或更小的功率下产生。等离子体可以以介于约10-30%之间的占空比被脉冲化。反应室可以保持在约5-20毫托(例如,约0.66-2.67帕)的压强下。衬底支撑件可以保持在约10-60℃的温度下。在下层202b在电容耦合等离子体中被蚀刻的情况下,用于蚀刻的化学物质可以包括CxFy、O2、N2、CxHyFz和Ar的任何组合。在某些情况下可以提供额外的反应物。可以在60MHz和27MHz下提供较低的功率,例如介于约100-800W之间的功率电平。等离子体可以以约10-30%的占空比被脉冲化。衬底温度可以保持在约0-60℃之间。
返回图1,该方法在操作113处继续,其中凹陷特征204通过对其进行各向异性蚀刻而延伸到下伏的材料201中,如图2H所示。在该蚀刻操作期间,多层硬掩模202的上层202a和下层202b(以及任何剩余的光致抗蚀剂203)充当掩模以保护存在多层硬掩模202(或其中一部分)的区域中的下伏的材料201。因此,蚀刻被约束在凹陷特征204的未受保护的区域。下伏材料201可以在多个不同的步骤中被蚀刻,具体取决于在衬底200上存在的材料和结构。例如,下伏材料201内的不同层可以在不同的步骤中被蚀刻,每个步骤的目标是去除特定类型的层。
随着下伏材料201被蚀刻,任何剩余的光致抗蚀剂203都被消耗掉。在光致抗蚀剂203被消耗后,多层硬掩模202的上层202a被消耗。一旦消耗了上层202a,就消耗了多层硬掩模200的下层202b。下层202b相对于下伏材料201提供了优异的选择性。换言之,用于将凹陷特征204蚀刻到下伏材料201中的蚀刻处理是高度选择性的,使得下伏材料201被去除,同时下层202b大部分被保留。当然,通过充分的等离子体暴露或其他加工,可以通过作为掩模材料消耗或通过在专门设计用于去除下层202b的步骤中的去除来去除下层202b。
在一些实施方案中,下伏材料201包括在另一结构(例如,一系列层)上的有机平坦化层,有机平坦化层位于下伏材料201的顶表面。在一些这样的实施方案中,有机平坦化层(其厚度可在约40-100nm之间)被完全蚀刻穿透而不完全消耗多层硬掩模202的上层202a。在这样的实施方案中,上层202a可以继续用作掩模,而凹陷特征402被蚀刻到有机平坦化层下方的一系列层中。在其他情况下,多层硬掩模202的上层202a可能在蚀刻穿过有机平坦化层时被完全消耗。在这种情况下,多层硬掩模202的下层202b可以在完成有机平坦化层的蚀刻和/或在蚀刻有机平坦化层下方的一系列层的同时充当掩模。
尽管图1描述了可以执行的许多不同的操作,但是应当理解,在某些实施方案中,这些操作中的一些可以被省略。例如,该方法可以通过接收如图2A-2E中任一个所示的衬底开始。在这种情况下,图1中所示的许多操作(特别是那些接近方法开始的部分)可以省略。在一实施方案中,该方法从在如图2B所示的衬底上的操作103开始。在另一实施方案中,该方法从在图2C所示的衬底上的操作105开始。在另一实施方案中,该方法从在如图2D所示的衬底上的操作107开始。在另一实施方案中,该方法从在如图2E所示的衬底上的操作109开始。通常,这些实施方案都涉及在衬底上存在多层硬掩模的同时蚀刻衬底。其他实施方案可以包括与形成多层硬掩模相关的沉积步骤,而没有一些或所有蚀刻步骤。例如,在一实施方案中,该方法涉及操作101和103。在另一实施方案中,该方法涉及操作101、103和105。这些操作之后可以跟随或可以不跟随图1所示的其他操作。
图1和2A-2H一起描述了可以在多种实施方案中使用的技术。图3A-3E示出了可以实践某些实施方案的特定背景。更具体地说,图3A-3E描绘了硬掩模打开处理的各个阶段,其中在有机平坦化层中、然后在氧化物层中、然后在氮化钛层中形成凹陷特征。可以理解的是,虽然图3A-3E描绘了特定结构和材料,但这些仅代表所公开技术的一种实现方案,并且这些结构和材料不意在进行限制。一般而言,关于图3A-3E描述的蚀刻操作可以在被配置为产生电感耦合等离子体的反应室中进行。换言之,电感耦合等离子体可用于驱动图3A-3E中描述的蚀刻操作。
图3A示出了具有超低k介电层315、氮化硅层314、氮化钛层313、氧化物层312、有机平坦化层311和具有上层202a和下层202b的多层硬掩模202的衬底200。示例性超低k介电材料包括例如各种版本的SiCOH。在一些情况下,氮化钛层313还可以包括氧,使得其是氧氮化钛层。在某些实施方案中,氧化物层312可以是基于TEOS的氧化物层(例如,氧化硅层)。图3A的衬底200可以通过图1(例如,操作101-111,或其子集)和图2A-2G中描述的处理流程形成。为简洁起见,不再重复描述。图3A的衬底200类似于图2G的衬底200,其中图2G的下伏材料201对应于图3A的层311、312、313、314和315。
在凹陷特征204被转移到多层硬掩模202的上层202a和下层202b(如图3A所示)之后,凹陷特征204延伸到有机平坦化层311中,如图3B所示。该蚀刻处理可以是反应离子蚀刻处理。在该各向异性蚀刻期间,任何剩余的光致抗蚀剂203可以充当掩模,从而保护光致抗蚀剂203下方的材料。如果光致抗蚀剂203被消耗,则多层硬掩模的上层202a可以继续充当掩模。用于在有机平坦化层311中形成凹陷特征204的蚀刻处理是选择性的,使得有机平坦化层311的材料以较高的蚀刻速率被去除,而剩余的光致抗蚀剂203和/或多层硬掩模202的上层202a以较低速率被蚀刻。
在使用电感耦合等离子体蚀刻有机平坦化层的情况下,蚀刻化学可以使用SOx、CH4、O2、N2、H2和COx的任何组合。在使用电容耦合等离子体蚀刻有机平坦化层的情况下,蚀刻化学物质可以使用COx、N2、H2和O2的任何组合。在任一情况下,在一些实现方案中可以提供额外的反应物或其他气体。一般而言,可以使用常规的加工条件来执行该蚀刻步骤。
接下来,凹陷特征204延伸到氧化物层312中,如图3C所示。该蚀刻处理可以是反应离子蚀刻。在该各向异性氧化物蚀刻期间,多层硬掩模202的上层202a的剩余部分充当掩模以确保根据需要转移凹陷特征204。如果多层硬掩模202的上层202a被消耗,则多层硬掩模202的下层202b继续充当掩模。该蚀刻处理是选择性的,使得氧化物层312的材料以较高的蚀刻速率去除,而多层硬掩模202的上层202a和/或下层202b的材料以较低的蚀刻速率去除。在某些实施方案中,用于将凹陷特征204蚀刻到氧化物层312中的化学物质可以包括CxFy、CxHyFz、N2、O2、H2、CxHy、SF6、NF3和He的任何组合。在某些情况下可以提供其他反应物或处理气体。一般来说,可以使用常规的加工条件来实现该步骤。
接下来,凹陷特征204被延伸到氮化钛层313中,如图3D所示。该蚀刻处理可以是反应离子蚀刻。在该各向异性氮化钛蚀刻期间,多层硬掩模202的下层202b的剩余部分用作掩模以确保凹陷特征204根据需要转移。如果多层硬掩模202的下层202b被消耗,则有机平坦化层311继续充当掩模。该蚀刻处理是选择性的,使得氮化钛层313的材料以较高的蚀刻速率被去除,而下层202b和/或有机平坦化层311的材料以较低的蚀刻速率被去除。在某些实现方案中,用于将凹陷特征204蚀刻到氮化钛层313中的化学物质可以包括Cl2、HBr、CH4、Ar、N2、He的任何组合。在某些情况下,可以提供额外的反应物或其他处理气体。如上所述,等离子体可以是电感耦合等离子体。
在将凹陷特征204蚀刻到氮化钛层313中之后,去除有机平坦化层311,如图3E所示。在一些实施方案中,可以通过将衬底200暴露于灰化等离子体(例如含氧等离子体)来去除有机平坦化层311。有机平坦化层311的去除是选择性的,使得有机平坦化层311的材料以相对高的去除率被去除,而氧化物层312、氮化钛层313和氮化硅层314基本上被保留(和/或以相对于有机平坦化层311低得多的速率被蚀刻)。
图2A-2H和3A-3E中描述的处理流程示出了硬掩模打开处理,其中公开的多层硬掩模可用于将特征从图案化的EUV光致抗蚀剂层转移到包含位于氧化物层下方的氮化钛层的堆叠件中。在某些实施方案中,关于图3A-3D或3A-3E描述的各种蚀刻操作可以在具有至少一个电感耦合等离子体发生器的单个反应室中进行。
图4A-4D示出了可以与图2A-2H中所示的处理流程结合使用的另一个处理流程。该示例是在通孔蚀刻的背景中提供的。在某些实施方案中,关于图4A-4D所描述的蚀刻操作可以在具有电容耦合等离子体发生器的反应室中完成。换言之,图图4A-4D中的蚀刻反应可以由电容耦合等离子体驱动。
图4A描绘了具有下伏结构425、盖层424、超低k介电层423、牺牲硬掩模层422、有机平坦化层411、包括上层202a和下层202b的多层硬掩模202以及光致抗蚀剂203的衬底200。盖层424可称为介电盖。盖层424通常是氮化硅,但实施方案不受限于此。用于超低k介电层423的示例性材料包括各种类型的SiCOH和类似材料。用于牺牲硬掩模层422的一种示例性材料是氮化硅,但实施方案不受限于此。图4A中所示的衬底200。可以通过图1(例如,操作101-111)和图2A-2G中描述的处理来形成。为简洁起见,不再重复描述。图4A的衬底200类似于图2G的衬底200,其中图2G的下伏材料201对应于图4A的层411、422、423、424和425。
在凹陷特征204被转移到多层硬掩模202的上层202a和下层202b中之后,如图4A所示,凹陷结构204延伸到有机平坦化层411中,如图4B所示。蚀刻处理可以是反应离子蚀刻。在该各向异性蚀刻处理期间,任何剩余的光致抗蚀剂203都可以用作掩模,从而保护光致抗蚀剂203下面的材料。如果光致抗蚀剂203被消耗掉,则多层硬掩模的上层202a可以继续用作掩模。用于在有机平坦化层411中形成凹陷特征204的蚀刻处理是选择性的,使得有机平坦化层411的材料以更高的蚀刻速率被去除,而剩余的光致抗蚀剂203和/或多层硬掩模202的上层202a以较低速率被蚀刻。在某些情况下,该步骤的化学物质可能包括O2、N2、H2和COx的任意组合。在某些情况下可以提供其他反应物或处理气体。一般而言,该步骤可以使用常规的加工条件。
接下来,凹陷特征204延伸到牺牲硬掩模层422、超低k介电层423和盖层424中,如图4C所示。这可以在一个或多个各向异性蚀刻操作中完成,其中每个操作旨在去除一个或多个层。蚀刻操作可以是反应离子蚀刻操作。在该蚀刻过程中,多层硬掩模202的上层202a和下层202b以及有机平坦化层411充当掩模以将图案转移通过各个层。例如,当上层202a存在时,它起到保护下伏材料免受蚀刻的作用。如果上层202a被消耗,则下层202b继续充当掩膜以保护下面的材料免受蚀刻。如果下层202b被消耗,则有机平坦化层411继续充当掩模以保护下伏材料免受蚀刻。以此方式,凹陷特征204的图案可被转移通过各个层以到达图4C所示的衬底200。一般而言,传统的化学物质(例如,CxHyFz、CxFy、N2、O2、COx、Ar等)可以与传统的处理条件一起使用来完成这些步骤。
在将凹陷特征204蚀刻到盖层424中之后,可以去除剩余的有机平坦化层411,如图4D所示。这种去除可以类似于关于图3E描述的有机平坦化层311的去除,例如,但是可以使用不同的等离子体和等离子体生成条件。在某些实施方案中,可以使用包括例如O2、COx、N2和H2的任何组合的剥离化学物质去除一层或多层。尽管这些图显示了在凹陷特征204延伸到盖层424中之后去除有机平坦化层411,但在某些情况下,这可以以不同的顺序进行。例如,可以在凹陷特征204延伸到超低k介电层423中之后去除有机平坦化层411。在一些实施方案中,图4A-4D中描述的所有蚀刻操作都可以在单个反应室中完成。
图1、2A-2H和4A-4D中描述的处理流程可以在某些实施方案中使用,例如在蚀刻通孔或类似特征的背景中使用。图3A-3E和4A-4D仅提供了可以使用所公开的多层硬掩模的两个应用示例。根据需要,所公开的多层硬掩模也可用于其他应用。
装置
本文描述的技术可以在各种装置上执行。一个或多个反应室可以被配置为进行沉积,例如以沉积多层硬掩模的上层和/或下层,和/或本文所述的任何其他层/材料。此外,一个或多个反应室可以被配置为执行蚀刻,例如蚀刻穿过多层硬掩模的上层和/或下层、和/或本文所述的任何其他层/材料。类似地,一个或多个反应室可以配置为执行其他材料去除操作,例如灰化和/或清洁。这些可以是干法(例如,基于等离子体的)操作或湿法(例如,基于液体的)操作。在某些实施方案中,单个反应室可以被配置为执行多于一种类型的任务,例如沉积和蚀刻两者。在一些实施方案中,多个反应室可以组合在单个装置上,其中每个反应室被配置为执行特定目的,例如沉积或蚀刻。在一些实施方案中,多个装置可以组合在单个系统中,其中每个装置被配置为执行特定目的,例如沉积或蚀刻。许多配置是可能的。
图5示意性地示出了可用于使用原子层沉积(ALD)和/或化学气相沉积(CVD)来沉积材料的处理站500的实施方案,其中任一个都可以是等离子体增强的。处理站500可用于沉积本文所述的各种层,包括多层硬掩模的上层和/或下层。类似地,处理站500可以用于沉积关于图2A-2H、3A-3E和4A-4D描述的各种其他层。当然,对于特定操作或应用,可以根据需要使用其他沉积室。为简单起见,将处理站500描绘为独立的处理站,其具有用于维持低压环境的处理室体502。然而应理解,多个处理站500可被包含在共同的处理装置环境中。还应理解,在一些实施方案中,可以一或多个计算机控制器以程序方式调整处理站500的一或多个硬件参数(包含本文中详细讨论的参数)。
处理站500与反应物输送系统501流体连通,反应物输送系统501用于将处理气体输送至分配喷头506。反应物输送系统501包含用于混合和/或调整待输送至喷头506的处理气体的混合容器504。一或多个混合容器入口阀520可控制处理气体至混合容器504的引入。类似地,喷头入口阀505可控制处理气体至喷头506的引入。
某些反应物(如BTBAS)在蒸发并接着被输送至处理站之前可以以液态储存。例如,图5的实施方案包含用于蒸发待供给至混合容器504的液体反应物的蒸发点503。在一些实施方案中,蒸发点503可以是经加热的蒸发器。从这种蒸发器所产生的反应物蒸气会在下游输送管线中凝结。不相容的气体暴露于已凝结的反应物可能会产生小颗粒。这些小颗粒会阻塞管线、阻碍阀操作、污染衬底等。解决这些问题的某些方法涉及扫除和/或排空输送管线以去除剩余的反应物。然而,扫除输送管线会增加处理站循环时间,降低处理站的产量。因此,在一些实施方案中,可热追踪蒸发点503下游的输送管线。在某些示例中,也可热追踪混合容器504。在非限制性的示例中,蒸发点503下游的管线具有较高温度的分布,其从约100℃延伸至混合容器504处的约150℃。
在一些实施方案中,蒸发点503可以是经加热的液体注入器。例如,液体注入器可将液体反应物的脉冲注入至混合容器上游的载气流中。在一种情况下,液体注入器可通过瞬间使液体从较高压力变为较低压力来蒸发反应物。在另一情况下,液体注入器可将液体雾化为分散微滴,这些分散微滴接着在经加热的输送管线中蒸发。应明白,较小的液滴比较大的液滴更快蒸发,因此可降低液体注入与完成蒸发之间的延迟。较快蒸发可减少蒸发点503下游的管线的长度。在一情况下,液体注入器可直接安装至混合容器504。在另一情况下,液体注入器可直接安装至喷头506上。
在一些实施方案中,可提供蒸发点503上游的液流控制器以控制蒸发以及输送至处理站500的液体的质量流量。例如,液流控制器(LFC)可包含位于LFC下游的热质量流量计(MFM)。接着可调整LFC的柱塞阀以响应与MFM电通信的比例-积分-微分(PID)控制器所提供的反馈控制信号。然而,利用反馈控制可能要花一秒或更长时间才能稳定液流。这可能会延长液态反应物的配料时间。因此,在一些实施方案中,LFC可动态地在反馈控制模式与直接控制模式之间切换。在一些实施方案中,LFC可通过停用LFC与PID控制器的感测管来动态地从反馈控制模式切换至直接控制模式。
喷头506向衬底512分配处理气体。在图5所示的实施方案中,衬底512位于喷头506下方,并且显示为搁置在基座508上。应当理解,喷头506可以具有任何合适的形状,并且可以具有用于将处理气体分配到衬底512的任何合适数量和布置的端口。在本文的多个实施方案中,喷头506可以分阶段方式分配处理气体,例如以实现原子层沉积,这依赖于处理气体在衬底表面上的循环输送和吸附。
在一些实施方案中,微体积507位于喷头506下方。在处理站中的微体积中进行ALD和/或CVD处理会比在整个体积中进行ALD和/或CVD处理能减少反应物暴露与扫除时间、可降低转换处理条件(如压力、温度等)所需的时间、可限制处理站机械手被暴露于处理气体等。微体积尺寸的示例可包含但不限于介于0.1升至2升之间的体积。该微体积也会影响生产产量。虽然每一循环的沉积率减少,但循环时间也同时减少。在某些情况下,后者的效应大到足以改善针对特定目标膜厚度的模块的整体产量。
在一些实施方案中,可升高或降低基座508以将衬底512暴露于微体积507和/或改变微体积507的体积。例如,在衬底传送阶段中,可降低基座508以使衬底512能加载到基座508上。在沉积处理阶段中,可升高基座508以将衬底512置于微体积507中。在一些实施方案中,微体积507可完全围绕衬底512以及基座508的一部分以在沉积处理期间产生高流动阻抗区域。
任选地,可在部分沉积处理期间降低和/或升高基座508以调节微体积507内的处理压力、反应物浓度等。在沉积期间处理室体502被维持在一基础压力的情况下,降低基座508可使微体积507被排空。微体积的体积对处理室的体积的示例性比例可包含但不限于介于1:500至1:10之间的比例。应理解,在一些实施方案中,可通过合适的计算机控制器以编程方式调整基座高度。
在另一情况下,调整基座508的高度可改变沉积处理中所包含的等离子体活化期间和/或处理循环期间的等离子体密度。在完成沉积处理阶段时,可在另一衬底传送阶段期间降低基座508以使得能从基座508去除衬底512。
虽然本文中所述的示例性微体积变化是指高度可调整的基座,但应理解,在一些实施方案中,可调整喷头506相对于基座508的位置以改变微体积507的体积。另外,应理解,在本发明的范围内可通过任何适当的机构来改变基座508和/或喷头506的竖直位置。在一些实施方案中,基座508可包含用于旋转衬底512的方位的旋转轴。应当明白,在一些实施方案中,可通过一或多个合适的计算机控制器以编程方式执行这些示例性调整的一或多种。
回到图5所示的实施方案,喷头506与基座508是与用于对等离子体供给能量的RF电源514与匹配网络516电连通。在一些实施方案中,可通过控制处理站压力、气体浓度、RF源功率、RF源频率以及等离子体功率脉冲时点中的一或多者来控制等离子体能量。例如,可在任何适当的功率下操作RF电源514与匹配网络516以产生具有期望的自由基物质组成的等离子体。适当功率的示例已包含在上面的段落。类似地,RF电源514可提供具有任何适当频率的RF功率。在一些实施方案中,RF电源514可用于彼此独立地控制高频与低频RF电源。低频RF频率的示例可包含但不限于介于50kHz和500kHz之间的频率。高频RF频率的示例可包含但不限于介于1.8MHz和2.45GHz之间的频率。应明白,可以离散地或连续地调节任何适当的参数以提供用于表面反应的等离子体能量。在一非限制性的示例中,相对于被连续供电的等离子体,可间歇地给等离子体功率施以脉冲以降低对衬底表面的离子轰击。
在一些实施方案中,可通过一或多个等离子体监测器原位监测等离子体。在一情况下,可通过一或多个电压、电流传感器(如VI探针)监测等离子体功率。在另一情况下,可通过一或多个光发射光谱(OES)传感器测量等离子体密度和/或处理气体的浓度。在一些实施方案中,可基于来自这种原位监测器的测量值以编程方式调整一或多个等离子体参数。例如,可在提供等离子体功率的程序化控制的反馈回路中使用OES传感器。应理解,在一些实施方案中,可使用其他监测器监测等离子体与其他处理特性。这种监测器可包含但不限于红外线(IR)监测器、声学监测器以及压力传感器。
在一些实施方案中,可通过输入/输出控制(IOC)序列指令控制等离子体。在一示例中,设定用于等离子体处理阶段的等离子体条件的指令可被包含在沉积处理配方的对应等离子体活化配方阶段中。在某些情况下,处理配方阶段可按顺序配置,使得用于沉积处理阶段的所有指令与该处理阶段同步执行。在一些实施方案中,可将用于设定一或多个等离子体参数的指令包含在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可包含用于设定惰性气体和/或反应物气体的流率的指令、用于将等离子体产生器设定至功率设定点的指令以及用于第一配方阶段的时间延迟指令。后续的第二配方阶段可包含用于启用等离子体产生器的指令以及用于第二配方阶段的时间延迟指令。第三配方阶段可包含用于使等离子体产生器停用的指令以及用于第三配方阶段的时间延迟指令。应理解,在本发明的范围内这些配方阶段可以任何合适的方式被更进一步地细分和/或重复。
在某些沉积处理中,等离子体激励持续数秒或更长的数量级的时间。在一些实施方案中,可施用较短的等离子体激励。这些等离子体激励可持续10毫秒至1秒数量级的时间,约20至80毫秒,其中50毫秒是特定示例性时间。这种极短的RF等离子体激励涉及等离子体的极快速稳定。为了达到此目的,可配置等离子体产生器以使阻抗匹配被设定预设在特定电压,同时允许频率浮动。在传统上,高频等离子体是在约13.56MHz的RF频率下产生。在本文所述的多种实施方案中,允许频率浮动至不同于该标准值的值。通过允许频率浮动但将阻抗匹配固定在预定电压,可更加快速地稳定等离子体,当使用与某些沉积循环类型相关的极短等离子体激励时,该结果可能是重要的。
在一些实施方案中,基座508可通过加热器510控制温度。另外,在一些实施方案中,可通过蝶阀518提供沉积处理站500的压力控制。如图5的实施方案中所示,蝶阀能调节下游真空泵(未显示)提供的真空。然而在一些实施方案中,可通过改变被导入处理站500的一或多种气体的流率而调整处理站500的压力控制。
图6示出了多站式处理工具600的实施方案的概要视图,其具有入站装载锁602和出站装载锁604,入站装载锁602和出站装载锁604的一者或者两者可以包含远程等离子体源。处于大气压的机械手606被配置为将晶片从通过晶舟608装载的盒经由大气端口610移动至入站装载锁602内。晶片由机械手606放置在入站装载锁602中的基座612上,关闭大气端口610,且抽空装载锁。当入站装载锁602包含远程等离子体源时,晶片在被引入处理室614之前,可以暴露至装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁602中加热,例如以移除湿气和吸附的气体。接下来,通向处理室614的室传输端口616被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图6中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室614包含4个处理站,图6所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为618)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,一个处理站可配置为沉积多层硬掩模的下层,而另一处理站可配置为沉积多层硬掩模的上层。每个处理站可以如上文关于图5所描述的那样。尽管绘出的处理室614包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图6描绘了用于在处理室614内传输晶片的晶片搬运系统690的一些实施方案。在一些实施方案中,晶片搬运系统690可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图6还绘出了采用来控制处理工具600的处理条件和硬件状态的系统控制器650的实施方案。系统控制器650可以包含一个或多个存储器设备656、一个或多个海量存储设备654和一个或多个处理器652。处理器652可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器650控制处理工具600的所有活动。系统控制器650执行存储在海量存储设备654、载入存储器设备656、并由处理器652执行的系统控制软件658。系统控制软件658可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、清扫条件和时序、晶片温度、RF功率电平、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具600执行的特定处理的其它参数的指令。系统控制软件658可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行根据所公开的方法的各种处理工具处理的处理工具部件的操作。系统控制软件658可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件658可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,PEALD处理的每个阶段可以包括用于由系统控制器650执行的一个或多个指令。用于设置PEALD处理阶段的处理条件的指令可以包括在对应的PEALD配方阶段中。在一些实施方案中,PEALD配方阶段可以顺序排列,使得PEALD处理阶段的所有指令与该处理阶段同时执行。
在一些实施方案中可以采用与系统控制器650关联的、存储在海量存储设备654和/或存储器设备656的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座618,并控制衬底和处理工具600的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。处理气体控制程序可以包括用于将气体组成和流率控制在任何公开范围内的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压强控制程序可以包括用于将处理站中的压强保持在任何公开的压强范围内的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。加热器控制程序可包括将衬底的温度保持在任何公开的范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率电平和频率的代码,例如用于使用本文公开的任何RF功率电平的代码。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器650相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如点击设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器650调节的参数会涉及处理条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF功率电平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器650的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具600的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积装置包括但不限于来自
Figure BDA0003821517900000241
产品系列、
Figure BDA0003821517900000242
产品系列和/或
Figure BDA0003821517900000243
产品系列的装置(每一者都可从Lam Research Corp.(Fremont,California)获得)或任何各种其他市售处理系统。两个或多个站可以执行相同的功能。类似地,两个或更多站可以执行不同的功能。每个站都可以设计/配置为根据需要执行特定的功能/方法。
图7A-7C示出了可用于执行这里所描述的蚀刻操作的可调节间隙电容耦合约束RF等离子体反应器700的实施方案。例如,这种反应器可用于蚀刻穿过本文所述的任何一层或多层,包括但不限于多层硬掩模的上层和下层以及有机平坦化层和其他层关于图2A-2H和4A-4D所描述的层。可以根据特定应用的需要使用其他类型的蚀刻反应器,这些蚀刻反应器可以具有不同或附加类型的等离子体发生器。如所描绘的,真空室702包括室壳体704,室壳体704围绕容纳下电极706的内部空间。在室702的上部,上电极708与下电极706竖直隔开。上电极708和下电极706的平坦表面基本平行并正交于电极间的竖直方向。优选地,上电极708和下电极706是圆形的,并且相对于竖直轴线同轴。上电极708的下表面朝向下电极706的上表面。相对电极间隔开的表面限定其间存在的可调节间隙710。在操作期间,下电极706由RF功率源(匹配)720供给RF功率。RF功率通过RF供应管线722、RF带724和RF功率构件726被供给到下电极706。接地屏蔽件736可以围绕RF功率构件726,以供应更均匀的RF场到下电极706。晶片通过晶片端口782插入并被支撑在下电极706上的间隙710中以供处理,并且处理气体被供给到间隙710并由RF功率激发成等离子体状态。上电极708可被供电或接地。
在图7A-图7C中所示的实施方案中,下电极706被支撑在下电极支撑板716上。插在下电极706和下电极支撑板716之间的绝缘环714使下电极706与支撑板716绝缘。
RF偏置壳体730将下电极706支撑在RF偏置壳体盆732上。盆732穿过在室壁板718中的开口通过RF偏置壳体730的臂734连接到导管支撑板738。在优选实施方案中,RF偏置壳体盆732和RF偏置壳体臂734一体地形成为一个部件,但是,臂734和盆732也可以是栓接或接合在一起的两个单独的部件。
RF偏置壳体臂734包括一个或多个中空通路用于传递RF功率和设施,诸如气体冷却剂、液体冷却剂、RF能量、用于升降销控制的电缆、电气监测和启动从真空室702外到真空室702内在下电极706的背面上的空间的信号。RF供应导管722与RF偏置壳体臂734绝缘,该RF偏置壳体臂734提供RF功率到RF功率源720的返回路径。设施管道740提供了用于设施部件的通道。为了描述的简单设施部件的进一步的细节在这里未示出。间隙710优选地由约束环组件或罩(未示出)包围。真空室702的内部经由通过真空端口780连接到真空泵而维持在低压下。
导管支撑板738被附接到致动机构742。致动机构742,例如伺服机械电机、步进电机或类似物,通过例如螺旋齿轮746(如滚珠丝杠)和用于转动滚珠丝杠的马达附接到竖直线性轴承744。在调整间隙710的大小的操作过程中,致动机构742沿着竖直线性轴承744行进。图7A示出了当致动机构742在线性轴承744上处于产生小的间隙710a的高的位置时的布置。图7B示出了当致动机构742处于在线性轴承744上中间的位置时的布置。如图所示,下电极706、RF偏置壳体730、导管支撑板738、RF功率源720均相对于室壳体704和上电极708向下移动,从而产生中等大小的间隙710b。
图7C示出了当致动机构742处于在线性轴承上的低的位置时的大的间隙710c。优选地,上电极708和下电极706在间隙调整期间保持同轴并且跨越间隙的上电极和下电极的相对表面保持平行。
例如,为了保持跨越大直径衬底(例如300毫米晶片或平板显示器)的均匀蚀刻,本实施方案使得在多步骤蚀刻处理配方(BARC、HARC、和STRIP等)期间在CCP室702中上电极708和下电极706之间的间隙710能进行调节。特别地,该室涉及一种机械装置,该机械装置使得能提供下电极706和上电极708之间可调的间隙所需的直线运动。
图7A示出了在导管支撑板738的近端并在室壁板718的阶梯式凸缘728的远端密封的横向偏转的波纹管750。阶梯式凸缘的内径限定室壁板718中的开口712,RF偏置壳体臂734通过开口712。波纹管750的远端被夹持环752夹持。
横向偏转的波纹管750提供真空密封,同时允许RF偏置壳体730、导管支撑板738和致动机构742的竖直移动。RF偏置壳体730、导管支撑板738和致动机构742可以被称为悬臂组件。优选地,RF功率源720与该悬臂组件一起移动并可以附接到导管支撑板738。图7B示出了当悬臂组件在中间位置时处于中间位置的波纹管750。图7C示出了当悬臂组件处于低的位置时横向偏转的波纹管750。
迷宫式密封件748提供了波纹管750和等离子体处理室壳体704的内部之间的颗粒屏障。固定屏蔽件756在室壁板718处不可移动地连接到室壳体704的内壁内,以便提供迷宫式槽760(缝隙),其中可移动屏蔽板758竖直移动,以适应悬臂组件的竖直移动。可移动屏蔽板758的外部在下电极706的所有竖直位置保持在缝隙中。
在示出的实施方案中,迷宫式密封件748包括在限定迷宫式槽760的室壁板718的开口712的周边附接到室壁板718的内表面上的固定屏蔽件756。可移动屏蔽板758附接RF偏置壳体臂734并从该RF偏置壳体臂734径向延伸,其中臂734穿过该室壁板718中的开口712。可移动屏蔽板758延伸进入迷宫式槽760,同时与固定屏蔽件756间隔开第一间隙,并与室壁板718的内表面间隔开第二间隙,从而使得悬臂组件能竖直移动。迷宫式密封件748阻止从波纹管750剥落的颗粒迁移进入真空室内部705,并阻挡来自处理气体等离子体的自由基迁移到波纹管750,在波纹管750中自由基可以形成随后剥落的沉积物。
图7A示出了当悬臂组件处于高位置(小的间隙710a)时在RF偏置壳体臂734上方的迷宫式槽760中较高的位置的可移动屏蔽板758。图7C示出了当悬臂组件处于低位置(大的间隙710c)时在RF偏置壳体臂734上方的迷宫式槽760中较低位置的可移动屏蔽板758。图7B示出了当悬臂组件处于中间位置(中等的间隙710b)时在迷宫式槽760内中等或中间位置的可移动屏蔽板758。尽管迷宫式密封件748被示出为相对于RF偏置壳体臂734是对称的,但在其他实施方案中迷宫式密封件748相对于RF偏置壳体臂734可以是不对称的。
在某些实施方案中,可以使用不同种类的蚀刻反应器,例如具有用于形成不同类型等离子体的替代或附加等离子体发生器的蚀刻反应器。在某些情况下,可以使用电感耦合等离子体。衬底可以直接暴露于等离子体,或者等离子体可以远离衬底产生。可以在某些情况下使用的示例性蚀刻装置包括来自
Figure BDA0003821517900000271
产品系列、
Figure BDA0003821517900000272
产品系列和
Figure BDA0003821517900000273
产品系列的产品,每一种都可从Lam Research Corporation(Fremont,CA)获得。
图8示意性地示出了根据本文某些实施方案的电感耦合等离子体蚀刻装置800的截面图。例如,这种装置可用于蚀刻穿过本文所述的任何一个或多个层,包括但不限于多层硬掩模的上层和下层,以及有机平坦化层和关于图2A-2H和3A-3E图1和图2描述的其他层。如上所述,可以根据特定应用的需要使用可以具有不同或附加类型的等离子体发生器的其他类型的蚀刻反应器。电感耦合等离子体蚀刻装置800包括在结构上由室壁801和窗811限定的整体蚀刻室。室壁801可以由不锈钢或铝制成。窗811可以由石英或其他介电材料制成。可选的内部等离子体栅格850将整个蚀刻室划分为上副室802和下副室803。等离子体栅格850可以包括单个栅格或多个单独的栅格。在许多实施方案中,可以去除等离子体栅格850,从而利用由副室802和803构成的室空间。
卡盘817定位在下副室803中在底部内表面附近。卡盘817被配置成接收和保持在其上执行蚀刻处理的半导体晶片819。卡盘817可以是当晶片819存在时用于支撑晶片819的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘817,并具有大致与晶片819(当晶片存在于卡盘817上方时)的顶面在同一平面的上表面。卡盘817还包括用于夹紧和松开晶片819的静电电极。可设置过滤器和DC夹持功率源(在图中未示出)用于此目的。也可以提供其他的控制系统用于提升晶片819使其离开卡盘817。卡盘817可以用RF电源823充电。RF电源823通过连接件827被连接到匹配电路821。匹配电路821通过连接件825连接到卡盘817。以这种方式,RF电源823被连接到卡盘817上。
线圈833位于窗811上方。线圈833由导电材料制成,并包括至少一整匝。在图8中所示的示例性线圈833包括三匝。线圈833的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。RF电源841被配置为提供RF功率至线圈833。一般地,RF电源841通过连接件845被连接到匹配电路839。匹配电路839通过连接件843连接到线圈833。以这种方式,RF电源841被连接到线圈833。任选的法拉第屏蔽件849被定位在线圈833和窗811之间。法拉第屏蔽件849以相对于线圈833成隔开的关系被保持。法拉第屏蔽件849被设置在窗811的正上方。线圈833、法拉第屏蔽件849和窗811各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在处理室的介电窗上。
处理气体可以通过位于上室中的主注入口860和/或通过侧注入口870(有时称为STG)供应。在操作等离子体处理期间,真空泵(例如,一级或二级机械干泵和/或涡轮分子泵840)可用于将处理气体抽出处理室并通过使用闭环-受控流量限制设备(例如节流阀(未显示)或摆阀(未显示))保持处理室800内的压强。
在装置的操作期间,可以通过注入口860和/或870供应一种或多种反应气体。在某些实施方案中,可以仅通过主注入口860或仅通过侧注入口870供应气体。在某些情况下,注入口可能会被喷头取代。法拉第屏蔽849和/或可选的栅格850可以包括允许将处理气体输送到室的内部通道和孔。法拉第屏蔽849和可选格栅850中的任何一者或两者都可以用作用于输送处理气体的喷头。
射频功率从RF电源841供给到线圈833以使RF电流流过线圈833。流过线圈833的RF电流产生围绕线圈833的电磁场。该电磁场产生在上副室802内的感应电流。所生成的各种离子和自由基与晶片819的物理和化学相互作用选择性蚀刻晶片的特征。
如果使用等离子体栅格850使得存在上副室802和下副室803二者,则感应电流作用于存在于上副室802中的气体上以在上副室802中产生电子-离子等离子体。任选的内部等离子体栅格850(如果存在)可以用于限制下副室803中的热电子的数量。在一些实施方案中,设计和操作所述装置使得存在于下副室803中的等离子体是离子-离子等离子体。在其他实施方案中,该装置可以被设计和操作使得存在于下副室803中的等离子体是电子-离子等离子体。
挥发性的蚀刻和/或沉积的副产物可通过端口822从下副室803去除。本文所公开的卡盘817可在约30℃和约250℃之间的升高的温度范围内操作。在一些情况下,卡盘817也可以在较低温度下操作,例如当卡盘817被主动冷却时。在这种情况下,卡盘817可以根据需要在显著较低的温度下操作。温度将取决于蚀刻处理操作和具体配方。在一些实施方案中,室801可以在约1毫托和约95毫托之间的范围内的压强下操作。在某些实施方案中,压强可能更高。
室801当安装在清洁室或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到室801。此外,室801可耦合在传送室上,从而允许使用典型的自动化由机械手传送半导体晶片进出室801。
在一些实施方案中,系统控制器830(其可以包括一个或多个物理或逻辑控制器)控制蚀刻室的一些或全部操作。系统控制器830可以包括一个或多个存储器设备和一个或多个处理器。处理器可以包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进电机控制器板和其他类似部件。用于实现适当控制操作的指令在处理器上执行。这些指令可以存储在与系统控制器830相关联的存储器设备上,或者它们可以通过网络提供。在某些实施方案中,系统控制器830执行系统控制软件。
在某些情况下,系统控制器830控制气体浓度、晶片移动和/或提供给线圈833和/或静电卡盘817的功率。系统控制器830可以通过例如打开和关闭相关阀以产生提供适当浓度的反应物的一种或多种入口气流来控制。可以通过例如引导晶片定位系统根据需要移动来控制晶片移动。可以控制提供给线圈833和/或卡盘817的功率以提供特定的RF功率电平。类似地,如果使用内部格栅850,则施加到格栅的任何RF功率都可以由系统控制器830调整。
系统控制器830可以基于传感器输出(例如,当功率、电势、压强等达到某个阈值时)、操作的时序(例如,在处理中,在特定时间打开阀门)、或基于从用户收到的指令来控制这些和其他方面。下面进一步讨论示例性的控制器。
图9描绘了具有各种与真空传送模块938(VTM)界面的模块的半导体处理群集架构。在多个存储设备和处理模块之间“传送”晶片的传送模块装置可以被称为“集群工具架构”系统。气锁930(也被称为装载锁或传送模块)在VTM 938示出具有四个处理模块920a-920d,其可以被单独优化以执行各种制造处理。例如,处理模块920a-920d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体处理。衬底蚀刻处理模块中的一个或多个(920a-920d中的任意一个)可以如本文所公开的被实施。气锁930和处理模块920a-920d可以被称为“站”。每个站具有将站与VTM 938连接的小面936(facet 936)。在每个小面内部,传感器1-18被用于在晶片926在各站之间移动通过时检测衬底926。
机械手922将晶片926在站之间传输。在一实施方案中,机械手922具有一个臂,而在另一实施方案中,机械手922具有两个臂,其中每个臂具有端部执行器924以拾取例如晶片926之类的晶片用于运输。在大气传送模块(ATM)940中,前端机械手932用于从在装载端口模块(LPM)942中的晶片盒或前开式标准晶舟(FOUP)934传送衬底到气锁930。处理模块920内的模块中心928是用于放置晶片926的一个位置。在ATM 940中的对准器944用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 942中的多个FOUP 934中的一个中。前端机械手932将晶片从FOUP 934传送到对准器944,其允许晶片926在被蚀刻或处理之前适当地居中。对准后,晶片由前端机械手932移动到气锁930。由于气锁模块具有匹配ATM和VTM之间的环境的能力,因此晶片926能够在两个压强环境之间移动而不被破坏。从气锁模块930,晶片926通过机械手922移动通过VTM 938并进入处理模块920a-920d中的一个。为了实现这种晶片移动,机械手922在其每一个臂上使用端部执行器1024。一旦晶片926已经被处理,它就被机械手922从处理模块920a-920d移动到气锁模块930。从这里,晶片926可以被前端机械手932移动到FOUP 934中的一者或对准器944。
应当注意的是,控制衬底运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的群集架构的外部,或在远程位置并通过网络连接到群集架构。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出与具体系统连接或通过接口连接的工具和其他转移工具和/或装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
结论
虽然已为了清楚理解的目的而对前述实施方案进行了一些详细的说明,但显而易见,可以在随附权利要求的范围内实施某些改变和修饰。应注意可以有许多实施本文实施方案的处理、系统和装置的替代方式。因此,本文的实施方案应视为示例性且非限制性的,且实施方案不应限制在此处提出的细节。

Claims (24)

1.一种处理衬底的方法,该方法包括:
a.接收衬底,所述衬底包括:
i.下伏材料,
ii.位于所述下伏材料上的有机平坦化层,
iii.位于所述有机平坦化层上方的多层硬掩模,该多层硬掩模包括:
1.包含无机介电含硅材料的下层,和
2.包含金属氧化物、金属氮化物或金属氧氮化物的上层,以及
iv.位于所述多层硬掩模上方的极紫外(EUV)光致抗蚀剂层,其中将所述EUV光致抗蚀剂层图案化以包括凹陷特征,并且其中所述多层硬掩模的所述上层的部分暴露在所述凹陷特征内;
b.蚀刻所述多层硬掩模的所述上层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述上层并且暴露所述多层硬掩模的所述下层的部分;
c.蚀刻所述多层硬掩模的所述下层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述下层中并且暴露所述有机平坦化层的部分;
d.蚀刻所述有机平坦化层的所述暴露部分,从而将所述凹陷特征延伸到所述有机平坦化层中并且暴露所述下伏材料的部分;以及
e.蚀刻所述下伏层材料的所述暴露部分,从而将所述凹陷特征延伸到所述下伏材料中。
2.根据权利要求1所述的方法,其中所述多层硬掩模的所述下层包括选自由非晶硅、氧化硅、碳化硅、碳氧化硅、氮化硅、氮氧化硅及其组合组成的群组的材料。
3.根据权利要求1所述的方法,其中,所述多层硬掩模的所述下层具有约10nm或更小的厚度。
4.根据权利要求1所述的方法,其中,所述多层硬掩模的所述上层的金属氧化物、金属氮化物或金属氧氮化物包括选自由钛、钽、铪、锡、钌和它们的组合组成的群组的金属。
5.根据权利要求1所述的方法,其中,所述多层硬掩模的所述上层具有约10nm或更小的厚度。
6.根据权利要求1所述的方法,其还包括:
在(b)之前,将所述衬底暴露于第一等离子体以去除所述凹陷特征内的多余的EUV光致抗蚀剂。
7.根据权利要求1所述的方法,其中蚀刻所述多层硬掩模的所述上层的所述暴露部分包括将所述衬底暴露于第二反应物,所述第二反应物包括含氯蚀刻剂和/或含溴反应物。
8.根据权利要求1所述的方法,其中蚀刻所述多层硬掩模的所述上层的暴露部分包括将所述衬底暴露于第二等离子体。
9.根据权利要求1所述的方法,其中蚀刻所述多层硬掩模的所述下层的所述暴露部分包括将所述衬底暴露于第三反应物,所述第三反应物包括含氟反应物和/或含氯反应物。
10.根据权利要求1所述的方法,其中蚀刻所述多层硬掩模的所述下层的所述暴露部分包括将所述衬底暴露于第三等离子体。
11.根据权利要求1-10中任一项所述的方法,其中所述多层硬掩模的所述上层和所述下层均具有约10nm或更小的厚度。
12.根据权利要求1-10中任一项所述的方法,其中,所述下伏材料包括位于所述有机平坦化层下方的氧化物层、位于所述氧化物层下方的氮化钛层或氧氮化钛层,以及位于所述氮化钛层或氧氮化钛层下方的氮化硅层,其中蚀刻所述下伏材料的所述暴露部分将所述凹陷特征延伸到所述氧化物层中并延伸到所述氮化钛层或所述氧氮化钛层中,并且其中至少(b)和(c)中的所述蚀刻由电感耦合等离子体驱动。
13.根据权利要求12所述的方法,其还包括在所述凹陷特征延伸到所述氧化物层和所述氮化钛层或氮氧化钛层中之后去除所述有机平坦化层。
14.根据权利要求1-10中任一项所述的方法,其中所述下伏材料包括位于所述有机平坦化层下方的牺牲硬掩模层、位于所述牺牲硬掩模层下方的超低k介电层、以及位于所述超低k介电层下方的盖层,其中蚀刻下伏材料的暴露部分将所述凹陷特征延伸到所述牺牲硬掩模层、所述超低k介电层和所述盖层中,其中至少在(b)和(c)中的所述蚀刻由电容耦合等离子体驱动。
15.根据权利要求14所述的方法,其中,所述牺牲硬掩模层包括氮化硅。
16.根据权利要求14所述的方法,其还包括在所述凹陷特征延伸到所述牺牲硬掩模层、所述超低k介电层和所述盖层中之后去除所述有机平坦化层。
17.一种处理衬底的方法,该方法包括:
a.接收衬底,在所述衬底上具有下伏材料;
b.在所述下伏材料上沉积多层硬掩模的下层,其中所述多层硬掩模的所述下层包括无机介电含硅材料;
c.在所述下伏材料上沉积所述多层硬掩模的上层,其中所述多层硬掩模的所述上层包括金属氧化物、金属氮化物或金属氧氮化物;以及
d.在所述多层硬掩模的所述上层沉积极紫外光致抗蚀剂。
18.根据权利要求17所述的方法,其中,所述多层硬掩模的所述下层和所述上层中的每一者具有约10nm或更小的厚度。
19.根据权利要求17或18所述的方法,其中所述多层硬掩模的所述下层和所述上层中的每一者通过原子层沉积或等离子体增强原子层沉积来沉积。
20.一种用于处理衬底的装置,该装置包括:
a.反应室;
b.位于所述反应室内的衬底支撑件;
c.等离子体发生器,其被配置为在所述反应室内产生等离子体;
d.所述反应室的一个或多个入口;以及
e.具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信连接,并且所述存储器存储用于控制所述至少一个处理器以引起权利要求1-19中任一项所述的任何方法的计算机可执行指令。
21.一种用于处理衬底的装置,该装置包括:
a.反应室;
b.位于所述反应室内的衬底支撑件;
c.等离子体发生器,其被配置为在所述反应室内产生等离子体;
d.所述反应室的一个或多个入口;以及
e.具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以引起:
i.将所述衬底接收在所述反应室中,所述衬底包括:
1.下伏材料,
2.位于所述下伏材料上的有机平坦化层,
3.位于所述有机平坦化层上方的多层硬掩模,该多层硬掩模包括:
a.包含无机介电含硅材料的下层,和
b.包含金属氧化物、金属氮化物或金属氧氮化物的上层,以及
4.位于所述多层硬掩模上方的极紫外(EUV)光致抗蚀剂层,其中将所述EUV光致抗蚀剂层图案化以包括凹陷特征,并且其中所述多层硬掩模的所述上层的部分暴露在所述凹陷特征内;
ii.蚀刻所述多层硬掩模的所述上层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述上层并且暴露所述多层硬掩模的所述下层的部分;
iii.蚀刻所述多层硬掩模的所述下层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述下层中并且暴露所述有机平坦化层的部分;
iv.蚀刻所述有机平坦化层的所述暴露部分,从而将所述凹陷特征延伸到所述有机平坦化层中并且暴露所述下伏材料的部分;以及
v.蚀刻所述下伏层材料的所述暴露部分,从而将所述凹陷特征延伸到所述下伏材料中。
22.一种用于处理衬底的系统,该系统包括:
a.被配置成执行沉积的第一反应室;
b.被配置成执行蚀刻的第二反应室;和
c.具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以引起:
i.在所述第一反应室中接收衬底,
ii.在所述衬底上沉积多层硬掩模的下层,其中所述多层硬掩模的所述下层包括无机介电含硅材料,
iii.在所述多层硬掩模的所述下层上沉积所述多层硬掩模的上层,其中所述多层硬掩模的所述上层包括金属氧化物、金属氮化物或金属氧氮化物,
iv.在所述多层硬掩模的所述上层的上面沉积极紫外光致抗蚀剂层,
v.将所述极紫外光致抗蚀剂层进行图案化以限定凹陷特征,从而暴露所述多层硬掩模的所述上层的部分,
vi.将所述衬底转移到所述第二反应室;
vii.蚀刻所述多层硬掩模的所述上层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述上层并且暴露所述多层硬掩模的所述下层的部分;以及
viii.蚀刻所述多层硬掩模的所述下层的所述暴露部分,从而将所述凹陷特征延伸到所述多层硬掩模的所述下层中并且暴露位于所述多层硬掩模的所述下层下方的所述衬底的部分。
23.根据权利要求22所述的系统,其中所述第二反应室包括电感耦合等离子体发生器,并且其中所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以导致产生第一电感耦合等离子体以在(vii)中蚀刻所述多层硬掩模的所述上层的所述暴露部分,并导致产生第二电感耦合等离子体以在(viii)中蚀刻所述多层硬掩模的所述下层的所述暴露部分。
24.根据权利要求22所述的系统,其中所述第二反应室包括电容耦合等离子体发生器,并且其中所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以导致产生第一电容耦合等离子体以在(vii)中蚀刻所述多层硬掩模的所述上层的所述暴露部分,并导致产生第二电容耦合等离子体以在(viii)中蚀刻所述多层硬掩模的所述下层的所述暴露部分。
CN202180017694.8A 2020-02-28 2021-02-23 用于减少euv图案化缺陷的多层硬掩模 Pending CN115244664A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062982956P 2020-02-28 2020-02-28
US62/982,956 2020-02-28
PCT/US2021/019245 WO2021173557A1 (en) 2020-02-28 2021-02-23 Multi-layer hardmask for defect reduction in euv patterning

Publications (1)

Publication Number Publication Date
CN115244664A true CN115244664A (zh) 2022-10-25

Family

ID=77490415

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180017694.8A Pending CN115244664A (zh) 2020-02-28 2021-02-23 用于减少euv图案化缺陷的多层硬掩模

Country Status (6)

Country Link
US (1) US20230343593A1 (zh)
JP (1) JP2023516588A (zh)
KR (1) KR20220148249A (zh)
CN (1) CN115244664A (zh)
TW (1) TW202219644A (zh)
WO (1) WO2021173557A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3258317B1 (en) * 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US10566211B2 (en) * 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR20190085654A (ko) * 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity

Also Published As

Publication number Publication date
WO2021173557A1 (en) 2021-09-02
US20230343593A1 (en) 2023-10-26
JP2023516588A (ja) 2023-04-20
TW202219644A (zh) 2022-05-16
KR20220148249A (ko) 2022-11-04

Similar Documents

Publication Publication Date Title
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
US10998187B2 (en) Selective deposition with atomic layer etch reset
CN107045969B (zh) 用于图案化非挥发性金属的室
US20170133202A1 (en) Computer addressable plasma density modification for etch and deposition processes
JP2018186269A (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
CN111886689A (zh) 无倒角通孔集成方案
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20230230811A1 (en) Surface modification for metal-containing photoresist deposition
JP2022510862A (ja) インターバル調整パージによるスループットの向上
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
US20230066676A1 (en) Core removal
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination