CN108735675A - 氧化硅的选择性沉积 - Google Patents
氧化硅的选择性沉积 Download PDFInfo
- Publication number
- CN108735675A CN108735675A CN201810154686.8A CN201810154686A CN108735675A CN 108735675 A CN108735675 A CN 108735675A CN 201810154686 A CN201810154686 A CN 201810154686A CN 108735675 A CN108735675 A CN 108735675A
- Authority
- CN
- China
- Prior art keywords
- substrate
- deposition
- deposited
- gas
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 230000008021 deposition Effects 0.000 title claims abstract description 129
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 title claims abstract description 35
- 239000000377 silicon dioxide Substances 0.000 title abstract description 12
- 239000000758 substrate Substances 0.000 claims abstract description 338
- 239000000463 material Substances 0.000 claims abstract description 221
- 238000000034 method Methods 0.000 claims abstract description 173
- 239000004065 semiconductor Substances 0.000 claims abstract description 46
- 230000006911 nucleation Effects 0.000 claims abstract description 42
- 238000010899 nucleation Methods 0.000 claims abstract description 42
- 238000000151 deposition Methods 0.000 claims description 143
- 238000005530 etching Methods 0.000 claims description 47
- 239000002243 precursor Substances 0.000 claims description 39
- 239000000203 mixture Substances 0.000 claims description 25
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 14
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 14
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 claims description 11
- 239000003638 chemical reducing agent Substances 0.000 claims description 4
- 230000003628 erosive effect Effects 0.000 claims description 4
- 229910052735 hafnium Inorganic materials 0.000 claims description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 4
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 claims description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 4
- 230000006378 damage Effects 0.000 claims description 3
- 230000003647 oxidation Effects 0.000 claims description 2
- 238000007254 oxidation reaction Methods 0.000 claims description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims 1
- 239000007789 gas Substances 0.000 description 107
- 238000000231 atomic layer deposition Methods 0.000 description 88
- 230000008569 process Effects 0.000 description 77
- 239000010408 film Substances 0.000 description 75
- 238000012545 processing Methods 0.000 description 70
- 239000010410 layer Substances 0.000 description 67
- 239000000376 reactant Substances 0.000 description 60
- 239000012159 carrier gas Substances 0.000 description 28
- 238000004140 cleaning Methods 0.000 description 27
- 229910052751 metal Inorganic materials 0.000 description 24
- 239000002184 metal Substances 0.000 description 23
- 239000010949 copper Substances 0.000 description 21
- 239000004411 aluminium Substances 0.000 description 19
- 229910052782 aluminium Inorganic materials 0.000 description 19
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 19
- 239000007788 liquid Substances 0.000 description 19
- 238000004519 manufacturing process Methods 0.000 description 19
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 239000000047 product Substances 0.000 description 16
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 15
- 238000006243 chemical reaction Methods 0.000 description 15
- 239000000460 chlorine Substances 0.000 description 15
- 229910052801 chlorine Inorganic materials 0.000 description 15
- 229910052710 silicon Inorganic materials 0.000 description 15
- 239000010703 silicon Substances 0.000 description 15
- 239000000126 substance Substances 0.000 description 15
- 238000009472 formulation Methods 0.000 description 14
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 13
- 230000008859 change Effects 0.000 description 12
- 238000005516 engineering process Methods 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 229910052802 copper Inorganic materials 0.000 description 10
- 238000001704 evaporation Methods 0.000 description 10
- 230000008020 evaporation Effects 0.000 description 10
- 238000002156 mixing Methods 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- 229910052814 silicon oxide Inorganic materials 0.000 description 10
- 238000010521 absorption reaction Methods 0.000 description 9
- 229910052786 argon Inorganic materials 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 238000009616 inductively coupled plasma Methods 0.000 description 9
- 239000012686 silicon precursor Substances 0.000 description 9
- 229910052721 tungsten Inorganic materials 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 8
- 238000001179 sorption measurement Methods 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 7
- 230000007547 defect Effects 0.000 description 7
- 230000012010 growth Effects 0.000 description 7
- -1 oxygen radicals Chemical class 0.000 description 7
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 7
- 230000005540 biological transmission Effects 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 229910052681 coesite Inorganic materials 0.000 description 6
- 230000008878 coupling Effects 0.000 description 6
- 238000010168 coupling process Methods 0.000 description 6
- 238000005859 coupling reaction Methods 0.000 description 6
- 229910052906 cristobalite Inorganic materials 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 229910052682 stishovite Inorganic materials 0.000 description 6
- 230000032258 transport Effects 0.000 description 6
- 229910052905 tridymite Inorganic materials 0.000 description 6
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 5
- 229910016542 Al2(CH3)6 Inorganic materials 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 5
- 238000004891 communication Methods 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 230000002708 enhancing effect Effects 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 238000011160 research Methods 0.000 description 5
- 239000005049 silicon tetrachloride Substances 0.000 description 5
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 5
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 125000004122 cyclic group Chemical group 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 230000005055 memory storage Effects 0.000 description 4
- 229910052754 neon Inorganic materials 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 230000003252 repetitive effect Effects 0.000 description 4
- 229920006395 saturated elastomer Polymers 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 229910017083 AlN Inorganic materials 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000001351 cycling effect Effects 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- 229910052738 indium Inorganic materials 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 238000005457 optimization Methods 0.000 description 3
- 239000007800 oxidant agent Substances 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 241000894007 species Species 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 229910001887 tin oxide Inorganic materials 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- 230000008016 vaporization Effects 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000006399 behavior Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 230000003197 catalytic effect Effects 0.000 description 2
- 150000001768 cations Chemical class 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000006866 deterioration Effects 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000034373 developmental growth involved in morphogenesis Effects 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 230000009643 growth defect Effects 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 239000000523 sample Substances 0.000 description 2
- 239000013049 sediment Substances 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 238000001771 vacuum deposition Methods 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- 241001501536 Alethe Species 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- 229910003910 SiCl4 Inorganic materials 0.000 description 1
- WWMIQJRAIZFZKA-UHFFFAOYSA-N [Si].N[SiH3] Chemical compound [Si].N[SiH3] WWMIQJRAIZFZKA-UHFFFAOYSA-N 0.000 description 1
- 239000011149 active material Substances 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 150000001450 anions Chemical class 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 238000005660 chlorination reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 239000000284 extract Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 238000005007 materials handling Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 230000006855 networking Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000007348 radical reaction Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000007779 soft material Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000007592 spray painting technique Methods 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 208000011117 substance-related disease Diseases 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- 230000007474 system interaction Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/042—Coating on selected surface areas, e.g. using masks using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/301—AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
- C23C16/303—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
- C23F1/02—Local etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
- C23F1/08—Apparatus, e.g. for photomechanical printing surfaces
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
氧化硅的选择性沉积。本发明涉及使用原子层蚀刻重置进行选择性沉积。提供了用于通过在半导体衬底上选择性沉积材料而在该衬底上进行沉积的方法。所述衬底具有多种衬底材料,每一种具有对应于在其上所沉积的所述材料的不同核化延迟。具体而言,根据成核延迟差值,与第一衬底材料相关联的成核延迟小于与第二衬底材料相关的成核延迟,其中在所述第一衬底材料上预期进行沉积,而在所述第二衬底材料上预期不进行沉积,所述成核延迟差值随着所述沉积的进行而减小。蚀刻所沉积的所述材料的一部分以重设所述第一衬底材料和所述第二衬底材料之间的成核延迟差值。所述材料进一步在所述衬底上选择性沉积。
Description
技术领域
本发明总体上涉及半导体领域,具体涉及使用原子层蚀刻重置进 行选择性沉积。
背景技术
随着半导体衬底特征尺寸的缩小,对处理技术的需求不断增长, 以帮助器件收缩并实现三维架构。原子级处理(如原子层沉积(ALD),原 子层蚀刻(ALE))已被发现在这方面是有用的技术。然而,继续半导体器 件小型化和缺陷减少的挑战依然存在。
发明内容
提供了用于通过在半导体衬底上选择性沉积材料而在该衬底上进 行沉积的方法。所述衬底具有多种衬底材料,每一种具有对应于在其上所沉 积的所述材料的不同核化延迟。具体而言,根据成核延迟差值,与第一衬底 材料相关联的成核延迟小于与第二衬底材料相关的成核延迟,其中在所述第 一衬底材料上预期进行沉积,而在所述第二衬底材料上预期不进行沉积,所 述成核延迟差值随着所述沉积的进行而减小。蚀刻沉积的所述材料的一部分 以重设所述第一衬底材料和所述第二衬底材料之间的成核延迟差值。所述材 料进一步在所述衬底上选择性沉积。
所述蚀刻可以循环执行,其中,循环包括:将衬底暴露于蚀刻气 体以使所述衬底的表面改性;以及将所述衬底暴露于去除气体以去除经改性 的所述表面的至少一些。将所述衬底暴露于蚀刻气体可以进一步包括点燃等 离子体。在一些实施方式中,该方法进一步包括向衬底施加偏置。蚀刻气体 可以是含氯化合物。在多种实施方式中,循环蚀刻介于约1埃至约50埃之间 的沉积的材料和/或膜。室可以在暴露之间清扫。
沉积循环可以包括:将所述衬底暴露于沉积前体以使所述衬底的 表面改性;以及将所述衬底暴露于还原剂以沉积所述膜。在一些实施方式 中,该方法还包括点燃等离子体。在一些实施方式中,在将衬底暴露于沉积 前体期间,至少一些沉积前体吸附到衬底的表面上。室可以在暴露之间清 扫。
在一些实施方式中,蚀刻和沉积在同一室中执行。蚀刻可以非共 形地进行。在一些实施方式中,蚀刻或沉积中的至少一个是自限性反应。
另一方面涉及一种方法,其包括:(a)将容纳在室中的衬底暴露 于第一反应物和第二反应物的交替脉冲以在所述衬底上沉积膜,所述衬底具 有第一衬底材料和第二衬底材料,在所述第一衬底材料上预期沉积所述膜, 并且在所述第二衬底材料上预期不沉积所述膜,所述第二衬底材料不同于所 述第一衬底材料,并且根据成核延迟差值,所述第一衬底材料的所述成核延 迟小于所述第二衬底材料的所述成核延迟,所述成核延迟差值随着所述沉积 进行而减小;(b)将容纳在室中的衬底暴露于蚀刻气体和去除气体的交替脉 冲以蚀刻所沉积的所述材料的一部分,以重置所述第一衬底材料和所述第二 衬底材料之间的成核延迟差值。在一些实施方式中,(a)和(b)可以在同 一室中执行,而不破坏真空。在一些实施方式中,可以重复(a)和(b)直 到产生足够的净沉积物。
去除气体可以是选自由N2、Ar、He和Ne组成的组的载气。在一 些实施方式中,(a)和(b)在同一室中执行并且顺序地执行。此外,室可 以在脉冲之间被清扫。在多种实施方式中,所述方法(a)还包括向衬底施加 偏置。在一些实施方式中,所述方法还包括当将衬底暴露于去除气体时点燃 等离子体。该方法还可以包括当将衬底暴露于第二反应物时点燃等离子体。
在多种实施方式中,(a)或(b)中的至少一者是自限性反应。 在一些实施方式中,重复(a)和(b)以在衬底上沉积材料。在一些实施方 式中,重复(a)和(b)以在衬底上蚀刻膜。在多种实施方式中,衬底选自 由金属和电介质组成的组。
另一方面涉及一种用于处理衬底的装置,所述装置包括:一个或 多个处理室,每个处理室具有卡盘;一个或多个进气口,其通向所述处理室 和相关联的流量控制硬件内;以及具有处理器和存储器的控制器,其中所述 处理器和所述存储器彼此通信地连接,所述至少一个处理器至少与所述流量 控制硬件操作性地连接,并且所述存储器存储用于控制所述至少一个处理器 以通过以下步骤至少控制所述流量控制硬件的计算机可执行指令:在半导体 衬底上选择性沉积材料,所述衬底包括多种衬底材料,所述多种衬底材料具 有对应于根据成核延迟差值在其上沉积的所述材料的不同成核延迟;蚀刻沉 积在所述衬底上的所述材料的一部分以重设所述衬底材料之间的成核延迟差 值;并且进一步在所述衬底上选择性沉积所述材料。
另一方面涉及一种用于处理衬底的装置,所述装置包括:一个或 多个处理室,每个处理室具有卡盘;一个或多个进气口,其通向所述处理室 和相关联的流量控制硬件内;以及具有处理器和存储器的控制器,其中所述 处理器和所述存储器彼此通信地连接,所述至少一个处理器至少与所述流量 控制硬件操作性地连接,并且所述存储器存储用于控制所述至少一个处理器 以通过以下步骤至少控制所述流量控制硬件的计算机可执行指令:将容纳在 室中的衬底暴露于第一反应物和第二反应物的交替脉冲以在所述衬底上沉积膜,所述衬底具有第一衬底材料和第二衬底材料,在所述第一衬底材料上预 期沉积所述膜,并且在所述第二衬底材料上预期不沉积所述膜,所述第二衬 底材料不同于所述第一衬底材料,并且根据成核延迟差值,所述第一衬底材 料的所述成核延迟小于所述第二衬底材料的所述成核延迟,所述成核延迟差 值随着所述沉积进行而减小;将容纳在室中的衬底暴露于蚀刻气体和去除气 体的交替脉冲以蚀刻所沉积的所述材料的一部分,以重置所述第一衬底材料 和所述第二衬底材料之间的成核延迟差值。
具体而言,本发明的一些方面可以阐述如下:
1.一种在半导体衬底上进行沉积的方法,所述方法包括:
在半导体衬底上选择性沉积材料,所述衬底包括多种衬底材料,所述 多种衬底材料具有对应于根据成核延迟差值在其上所沉积的所述材料的不同 核化延迟;
蚀刻沉积在所述衬底上的所述材料的一部分以重设所述衬底材料之间 的成核延迟差值;并且
进一步在所述衬底上选择性沉积所述材料。
2.根据条款1所述的方法,其中与第一衬底材料相关联的成核延迟小 于与第二衬底材料相关的成核延迟,其中在所述第一衬底材料上预期进行沉 积,而在所述第二衬底材料上预期不进行沉积。
3.根据条款2所述的方法,其中所述成核延迟差值随着所述沉积的进 行而减小。
4.根据条款1所述的方法,其中所述蚀刻循环执行,循环包括将所述 衬底暴露于蚀刻气体以使所述衬底的表面改性;以及
将所述衬底暴露于去除气体以去除经改性的所述表面的至少一些。
5.根据条款1所述的方法,其中所述沉积循环进行,所述循环包括将 所述衬底暴露于沉积前体以使所述衬底的表面改性;以及将所述衬底暴露于 还原剂以沉积所述材料。
6.根据条款1所述的方法,其中重复在所述衬底上选择性沉积所述材 料并且蚀刻所沉积的所述材料的一部分使所沉积的所述材料变厚,而不导致 沉积选择性的相应破坏。
7.根据条款1所述的方法,其中重复在所述衬底上选择性沉积所述材 料并蚀刻所沉积的所述材料的一部分防止脱靶沉积。
8.根据条款1所述的方法,其中待沉积的所述材料为氮化铝(AlN)。
9.根据条款1所述的方法,其中所述多种衬底材料选自由氧化硅 (SiO2)、氮化硅(Si3N4)、碳化硅(SiC)、氧化铝(Al2O3)和氮化铝 (AlN)组成的群组。
10.根据条款1所述的方法,其中,所述多种衬底材料选自由铪 (Hf)、锆(Zr)和氧化锡(SiO2)组成的群组。
11.根据条款1所述的方法,其中所述多种衬底材料选自由钨(W)、 铜(Cu)、钴(Co)、铝(Al)、钛(Ti)、硅(Si)和碳(C)组成的导 电膜的群组。
12.根据条款8所述的方法,其中,三甲基铝为待沉积的所述氮化铝提 供铝。
13.一种方法,其包括:
(a)将容纳在室中的衬底暴露于第一反应物和第二反应物的交替脉冲 以在所述衬底上沉积膜,所述衬底具有第一衬底材料和第二衬底材料,在所 述第一衬底材料上预期沉积所述膜,并且在所述第二衬底材料上预期不沉积 所述膜,所述第二衬底材料不同于所述第一衬底材料,并且根据成核延迟差 值,所述第一衬底材料的所述成核延迟小于所述第二衬底材料的所述成核延 迟,所述成核延迟差值随着所述沉积进行而减小;
(b)将容纳在室中的衬底暴露于蚀刻气体和去除气体的交替脉冲以蚀 刻所沉积的所述材料的一部分,以重置所述第一衬底材料和所述第二衬底材 料之间的成核延迟差值。
14.根据条款13所述的方法,其还包括在同一室内重复(a)和 (b)。
15.一种用于处理衬底的装置,所述装置包括:
一个或多个处理室,每个处理室具有卡盘;
一个或多个进气口,其通向所述处理室和相关联的流量控制硬件内; 以及
具有处理器和存储器的控制器,其中
所述处理器和所述存储器彼此通信地连接,所述至少一个处理器 至少与所述流量控制硬件操作性地连接,并且
所述存储器存储用于控制所述至少一个处理器以通过以下步骤至 少控制所述流量控制硬件的计算机可执行指令:
在半导体衬底上选择性沉积材料,所述衬底包括多种衬底材 料,所述多种衬底材料具有对应于根据成核延迟差值在其上沉积的所述材料 的不同成核延迟;
蚀刻沉积在所述衬底上的所述材料的一部分以重设所述衬底 材料之间的成核延迟差值;并且
进一步在所述衬底上选择性沉积所述材料。
16.根据条款15所述的装置,其中在不破坏真空的情况下执行所述在半 导体衬底上选择性沉积材料并且蚀刻沉积在所述衬底上的所述材料的一部 分。
17.根据条款15所述的装置,其中
所述存储器存储用于控制所述至少一个处理器以至少通过以下操作来控 制所述流量控制硬件的计算机可执行指令:
(a)将容纳在室中的衬底暴露于第一反应物和第二反应物的交替脉 冲以在所述衬底上沉积膜,所述衬底具有第一衬底材料和第二衬底材料,在 所述第一衬底材料上预期沉积所述膜,并且在所述第二衬底材料上预期不沉 积所述膜,所述第二衬底材料不同于所述第一衬底材料,并且根据成核延迟 差值,所述第一衬底材料的所述成核延迟小于所述第二衬底材料的所述成核 延迟,所述成核延迟差值随着所述沉积进行而减小;
(b)将容纳在室中的衬底暴露于蚀刻气体和去除气体的交替脉冲 以蚀刻所沉积的所述材料的一部分,以重置所述第一衬底材料和所述第二衬 底材料之间的成核延迟差值。
18.根据条款17所述的装置,其还包括在同一室内重复(a)和 (b)。
19.根据条款17所述的装置,其中,在不破坏真空的情况下执行所述 (a)和(b)。
这些和其它方面将在下面参照相关附图进行说明。
附图说明
图1是描述根据所公开的实施方式的方法的操作的工艺流程图。
图2描述根据所公开的实施方式的方法的操作的另一工艺流程 图。
图3是蚀刻和沉积的示意图。
图4是描述根据所公开的实施方式的方法的操作的另一个工艺流 程图。
图5是交替沉积和蚀刻循环的图形。
图6是在各种衬底表面上观察到的成核延迟的图形。
图7是用于执行所公开的实施方式的示例处理室的示意图。
图8是用于执行所公开的实施方式的示例性处理室的另一示意 图。
图9是用于执行所公开的实施方式的示例性处理室的又一示意 图。
图10是用于执行所公开的实施方式的示例处理装置的又一示意 图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方 式的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实践本发 明所公开的实施方式。在其它情形下,未详细描述公知的处理操作,以避免 不必要地模糊所公开的实施方式。此外,虽然将结合具体的实施方式描述所 公开的实施方式,但是应理解的是,这些具体的实施方式并不意在限制所公 开的实施方式。
随着特征尺寸的缩小,对于原子层沉积(ALD)和原子层蚀刻 (ALE)等原子级处理的需求不断增长。这些是名义上自限制步骤的循环过 程,其导致膜厚度的数字和小的变化。这些工艺的特征在于相对的平缓和保 形(conformality),以及某些ALE工艺的方向性。
本发明提供的方法涉及优化的选择性沉积工艺与受控蚀刻(例如 ALE)的组合,以在选择性沉积工艺期间保持生长选择性并改善缺陷消除性 能。
在半导体衬底上的选择性沉积可以通过在ALD和ALE工艺之间 周期性地交替来完成。选择性沉积通过例如从其底部向上构建晶片来实现各 种三维(3D)体系结构的连续器件小型化和制造。选择性沉积的其他优点包 括但不限于:简化的集成流程(即,不需要单独的光刻和/或蚀刻工艺)、增 强的特征密度和/或缩放(即,使得附加的器件特征可以包括在较小的横截面 面积中)和图案化(即改进的覆盖)。
然而,目前可用的选择性沉积方法通常是材料和/或系统特定的, 因此不存在根据意愿选择性沉积金属和/或介电材料的通用方法。以其他方式 与选择性沉积相关的其他挑战是缺陷的产生以及对于窄工艺窗的需求。由于 典型的沉积工艺在评估成核延迟的能力方面是有限的和/或无法选择性生长材 料,所以沉积选择性也可能随着沉积的进行而劣化。此外,沉积选择性的这 种劣化通过选择沉积使得相对较厚的膜生长相对困难。
此外,选择性沉积可能由于缺少精确和/或理想的起始表面(即, 半导体衬底和/或晶片)而导致缺陷,使得残余沉积材料可能形成和/或以其他 方式被作为缺陷存在于这样的不理想的表面上。
根据在例如两种或更多种不同衬底材料的相应成核延迟之间观察 到的成核延迟差值或者通过使用该延迟差异,所公开的方法提供了选择性沉 积工艺以获得差异生长。也就是说,沉积工艺适合于通过利用所沉积的材料 的沉积在第一衬底材料上比在第二衬底上更容易地发生这样事实来实现在例 如第一衬底材料上相对于第二衬底材料的选择性沉积。相比于上面没有发生 选择性沉积的衬底,上面发生选择性沉积的衬底对于被沉积的材料具有更低 或更少的成核延迟,使得与两种衬底材料中的每一种相关联的成核延迟之间存在差异,这种差异被称为成核延迟差值。然而,已经发现,随着选择性沉 积的进行,沉积选择性的劣化发生。为了纠正这一点,已经发现高度受控的 ALE工艺可以与生长工艺结合使用以重置和/或重设成核延迟差值,从而使得 能够随后扩展与工业应用有关的其他有限工艺窗。
将选择性沉积与受控蚀刻(例如ALE)组合的其他益处包括消除 选择性沉积固有的生长缺陷,例如积聚在电介质或类似衬底材料上的将在 ALE期间去除的不期望有的残留金属。此外,所公开的方法通过增强和/或以 其他方式优化成核延迟和/或特定于衬底材料的在成核延迟之间观察到的差异 (即,成核延迟差)而按照意愿选择性地沉积材料和/或电介质,从而有助于 选择性沉积方案的普遍化。所公开的方法还使得选择性沉积能在相同的衬底 上发生不止一次。
所公开的方法提供了可控制的解决方案以扩展通常让选择性沉积 发生的本来相对较窄的工艺窗。如上所述,在包含多种衬底材料的衬底上进 行初始选择性沉积,其中沉积选择性地发生在具有更低或更少的成核延迟的 衬底材料上,而不是在另一衬底材料上,在该另一衬底材料上沉积选择性地 没有发生。在一些实施方式中,通过使用优化的化学物质,即作为实现表面 化学变化、抑制等的方式来增强与构成衬底的各种衬底材料相关联的成核延 迟,以确保差异生长并潜在地扩展应用窗口。接下来,为了增强沉积选择性 并由此提高吞吐量,同时减少与脱靶沉积相关联的缺陷,将可控蚀刻工艺例 如ALE施加到衬底以重置、恢复和/或以其他方式重设成核延迟和/或抑制上 面寻求沉积的特定衬底材料。
通过重置、恢复或重设,它意味着所参考材料的成核延迟降低, 通常大大降低,从而降低到或接近选择性沉积开始时的水平,例如在选择性 沉积开始时的成核延迟水平的1%、2%、5%、10%、20%、30%、40%、 50%、60%、70%、80%或90%处或内。在一些情况下,成核延迟可以减少 至超过(不到)在选择性沉积开始时的水平。在其他实施方式中,通过增加 与衬底材料相关联的成核延迟来提高成核延迟差值,在该衬底材料上没有发 生与该材料相关联的选择性沉积,例如达到如上相对于成核延迟减少所述的 相同或相似的程度。在其他实施方式中,与上面分别发生选择性沉积和不发 生选择性沉积的材料相关的成核延迟的减少和增加都可以用于增大成核延迟 差值。
因此,在完成ALE工艺之后,预计各种衬底上沉积的选择性(各 种衬底之间的成核延迟差值)会增大。
本文提供的方法提供了用于由选择性沉积工艺导致的固有缺陷 (例如由在例如不希望有的非生长表面上发现的残留沉积材料产生的那些缺 陷)的解决方案。ALE与选择性沉积结合的使用允许从不希望有的表面去除 这种残留沉积材料,例如以在金属区域和电介质区域之间以及在各种电介质 和金属部分内产生更好的分离。因此,选择性沉积方案可因此例如在相同半 导体衬底上循环ALD步骤和ALE步骤以通过增强和/或优化不同衬底材料之 间的成核延迟差值来根据意愿沉积金属和/或电介质。
图1提供了一种用于执行根据所公开的实施方式的方法中的操作 的流程图。图1中的操作可以在例如约1毫托与约100托之间的室压强下进 行,例如约1毫托和约1托之间的室压强下进行。图1所示的方法通常涉及 在半导体衬底上进行沉积。具体而言,在操作102中,将由多种不同衬底材 料组成或以其他方式包括多种不同衬底材料的半导体衬底提供给处理室。
参照操作102中在其中提供半导体衬底的室,该室可以是多室装 置或单室装置中的室。半导体衬底可以是硅晶片,例如200mm晶片,300mm 晶片或450mm晶片,包括上面沉积有一层或多层材料(例如电介质、导电或 半导体材料)的晶片。在一些实施方式中,衬底包括硅覆盖层,诸如非晶硅 覆盖层,或包括锗覆盖层。衬底可以包括预先在衬底上沉积并图案化的图案 化掩模层。例如,掩模层可以在包括非晶硅覆盖层的衬底上沉积并图案化。
在一些实施方式中,衬底上的层可以被图案化。衬底可以具有诸 如通孔或接触孔的“特征”,其可以通过狭窄和/或凹入开口,特征内的收缩 部以及高深宽比中的一个或多个来表征。该特征可以形成在上述层中的一个 或多个中。特征的一个示例是半导体衬底中的孔或通孔或衬底上的层中的孔 或通孔。另一个示例是衬底或层中的沟槽。在多种实施方式中,特征可以具 有下层,诸如阻挡层或粘附层。下层的非限制性示例包括介电层和导电层, 例如氧化硅层、氮化硅层、碳化硅层、金属氧化物层、金属氮化物层、金属 碳化物层和金属层。
在一些实施方式中,特征可以具有至少约2:1、至少约4:1、 至少约6:1、至少约10:1、至少约30:1或更高的深宽比。在高深宽比处 保护特征侧壁可能是理想的。公开的方法可以在具有特征的衬底上执行,所 述特征具有小于约150nm的开口。特征通孔或沟槽可以被称为未填充特征或 特征。特征可具有从特征的底部、封闭端或内部到特征开口变窄的可内凹的 轮廓。在一些实施方式中,本文描述的方法可以用于形成具有这些特点的特 征。
接下来,在操作104处,例如如参考下面的图3所述,将材料选 择性地沉积在半导体衬底的一种或多种衬底材料上,其中衬底材料具有与根 据成核延迟差值在其上沉积的材料相对应的不同的成核延迟。例如,通过例 如ALD在诸如钨(W)之类的金属衬底上沉积氮化铝(AlN)可以导致与在 例如电介质(例如SiO2)衬底上沉积AlN相比,观察到不同的成核延迟。这 种成核延迟差值可以用来实现相对于具有更大成核延迟的材料在具有较小成 核延迟的材料上的选择性沉积。
通常,ALD是一种利用顺序自限性反应沉积薄材料层的技术。 ALD可以使用任何合适的技术来执行。在多种实施方式中,ALD可以用等离 子体执行,或者可以热执行。而且,操作104可以循环执行,即在本文中被 称为“ALD循环”。
ALD循环的构思与本文多种实施方式的讨论相关。通常,ALD 循环是用于一次执行表面沉积反应的最小操作集合。例如,一个成功的ALD 循环的结果是在期望的衬底表面(例如操作104的第一衬底材料)上产生至 少部分含硅膜层。典型地,ALD循环包括一些操作,这些操作用以输送和吸 附至少一种反应物到衬底表面,然后使吸附的反应物与位于衬底表面上的一 种或多种反应物反应以形成例如至少一部分膜层。ALD循环可以包括某些辅 助操作,例如清扫反应物或副产物之一和/或处理所沉积的部分膜。通常,循 环包含唯一操作序列的一个示例。作为示例,ALD循环可以包括以下操作: (i)输送/吸附含硅前体,(ii)从室清扫含硅前体,(iii)输送第二反应物 和等离子体,以及(iv)从室清扫等离子体。
在一些实施方式中,氮化铝(AlN)可经由ALD操作和/或循环 沉积在各种所讨论的衬底上,这些衬底包括(但不限于)以下:氧化硅 (SiO2)、氮化硅(Si3N4)、碳化硅(SiC)、氧化铝(Al2O3)和氮化铝 (AlN)。而且,AlN可以单独地和/或组合地沉积在诸如铪(Hf),锆 (Zr)和氧化锡(SnO2)等通用高κ介电层和/或诸如钨(W)、铜(Cu)、 钴(Co)、铝(Al)、钛(Ti)、硅(Si)和碳(C)等导电膜上。
图3显示了用于沉积氧化硅(SiO2)的ALD循环的示例性示意 图。图表304a-304e显示通用ALD循环。在304a中,提供了包括许多硅原子 的硅衬底。在302b中,将氧作为氧自由基引入衬底,从而使衬底的表面改 性。这可能是反应物和等离子体的输送。作为示例,注意到一些氧自由基被 吸附到衬底的表面上。在304c中,氧自由基从室中清除。在304d中,引入含硅前体或硅源,并且硅源与吸附在衬底表面上的氧自由基反应。在304e 中,清扫室并去除副产物,留下沉积的SiO2层。
对作为沉积材料的SiO2的选择的替代方案,在一些实施方式中, 例如铝(Al)和/或铜(Cu)之类的金属经由ALD沉积在例如操作104a期间 要在其上进行沉积的第一衬底表面上。在一些实施方式中,第一衬底表面可 以基本上由氮化铝(AlN)组成。此外,三甲基铝(Al2(CH3)6)可提供合 适的前体以供应作为沉积材料所需的Al,以例如沉积在基本上包含AlN的衬 底上,其中观察到随后的成核延迟。具体而言,Al2(CH3)6可以以相对受控 的方式从例如250℃至350℃沉积,在该温度以上观察到分解。
在一些实施方式中,通过ALD沉积的膜可以是高度共形的。可 以通过台阶覆盖率(step coverage)来测量膜的共形性。可以通过比较特征的 底部、侧壁或顶部上的沉积的膜的平均厚度与特征的底部、侧壁或顶部上的 沉积的膜的平均厚度来计算台阶覆盖率。例如,可以通过将在侧壁上的沉积 膜的平均厚度除以在该特征的顶部的沉积的膜的平均厚度并将其乘以100以 获得百分比来计算阶梯覆盖率。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反 应逐层地沉积膜。在ALD工艺的一个实施例中,包含表面活性位点群的衬底 表面暴露于按剂量提供到容纳衬底的处理室的气相分布的第一前体,如含硅 前体。该第一前体的分子被吸附在衬底表面,包含第一前体的化学吸附物质 和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表 面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的 吸附层可包含含硅前体以及含硅前体的衍生物。在某些实施方式中,ALD前 体的剂量部分地充满衬底的表面。在一些实施方式中,在使前体接触衬底以 均匀地充满表面之前,结束ALD循环的投配阶段。典型地,在这时将前体流 关断或转移,并且仅仅清扫气体流动。通过在这种亚饱和状态下工作,ALD 工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限 的,因此被吸附的前体浓度在整个衬底表面可以略有变化。在亚饱和状态操 作ALD工艺的实施例在2013年10月23日提交的、名称为 “SUB-SATURATED ATOMIC LAYERDEPOSITION AND CONFORMAL FILM DEPOSITION,”的美国专利申请No.14/061,587中被提供,该专利文件 通过引用整体并入本发明。在第一前体投配之后,将反应器抽空以除去保持 在气相的任何第一前体,使得仅吸附物质保留。将第二反应物如含氧或含氮 气体引入反应器,以使这些分子中的一些与吸附在表面上的第一前体反应。 在一些工艺中,第二前体立即与吸附的第一前体反应。在其他实施方式中, 第二前体仅在临时施加激活源之后才起反应。然后可以将反应器再次抽空以 去除未结合的第二前体分子。额外的ALD循环可以用于建立膜厚度。
在一些实现方式中,所述ALD方法包含等离子体活化。例如当 第二反应物被输送到室时。如本文所述,本文所述的ALD方法和装置可以是 共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申 请No.13/084399(现在的美国专利No.8728956)和2011年4月11日提交的 名称“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No. 13/084305中,这些专利文件通过引用整体并入本文。在Puurunen,“Surface chemistry of atomic layerdeposition:for the trimethylaluminum/water process”,97 J.Applied Physics12301(2005)中描述了ALD工艺的另外的示例,该申请通过 引用并入本文以提供对合适的ALD工艺的描述。
具体而言,在一些实施方式中,在操作104中,将薄膜和/或材料 沉积在第一半导体衬底材料上,在该第一半导体衬底材料上通过例如ALD试 图进行沉积。在多种实施方式中,在操作104中沉积含硅材料和/或膜。示例 性的含硅膜包括氧化硅、氮氧化硅和氮化硅。在一些实施方式中,可以沉积 金属或含金属膜。此外,在一些实施方法中,可以在操作106之前执行操作 104以根据需要循环操作102-108。替代地,在一些实施方式中,操作106可在操作104之前执行。
此外,在操作104中,在一些实施方式中,可以连续地使诸如N2、Ar、Ne、He及其组合之类的载气流动。载气可以用作清扫气体。可以 提供惰性气体以协助处理室的压强和/或温度控制、液体反应物的蒸发、更迅 速地输送反应物和/或作为打扫气体用于从处理室和/或处理室管道去除工艺气 体。
本文提供可在操作104中进行的吸附和第二反应物输送操作的实 例。在ALD循环的吸附操作中,上面预期进行ALD的衬底可暴露于膜前 体,如四氯化硅(SiCl4),以使其吸附到衬底表面上。在一些实施方式中, 膜前体可以是含硅前体。在一些实施方式中,膜前体,例如SiCl4,可以被吸 附到衬底表面的约60%上。在多种实施方式中,当膜前体流到室中时,该膜 前体吸附在衬底的表面上的活性位点上,从而在该表面上形成薄的膜前体 层。在多种实施方式中,该层可以小于一个单层。
在吸附之后,室可被任选地清扫以除去气相中的未吸附到衬底表 面的过量的前体。清扫会涉及打扫气体,打扫气体可以是在其它操作中使用 的载气,或者可以是不同的气体。在一些实施方式中,清扫可包括抽空该 室。
在ALD循环的第二反应物输送操作中,衬底可暴露于第二反应 物和任选的等离子体。在多种实施方式中,第二反应物是氧(O2)或氮 (N2)或其组合。在沉积氧化硅层的一些实施方式中,氧被用作第二反应 物。在一些实施方式中,第二反应物流和等离子体两者都接通。在一些实施 方式中,可以在接通等离子体之前接通第二反应物流,以例如允许第二反应 物流稳定。
在一些实施方式中,可选的等离子体是原位等离子体,使得等离 子体直接形成在室中的衬底表面上方。在多种实施方式中,等离子体可以是 电感耦合等离子体或电容耦合等离子体。电感耦合等离子体可以设置在约 50W和约2000W之间的等离子体中。在一些实施方式中,可以施加在约0V 与约500V之间的偏压。在输送第二反应物期间,关断膜前体,例如SiCl4。 衬底可暴露于第二反应物和任选的等离子体持续超过等离子体与吸附在衬底 表面上的所有前体相互作用的时间的持续时间,从而在衬底表面顶上形成连 续膜。
在第二反应物输送操作之后,室可以被清扫,例如通过引入载气 或惰性气体进行清扫。该操作的条件可以是上述用于清扫工艺的那些条件中 的任何一种。
在多种实施方式中,可以重复ALD循环。例如,ALD的操作可 以在沉积的材料膜和/或材料层的大量生长之前进行约5至约70个循环,甚 至达到300个循环。因此,可以包括和/或执行任何合适数量的沉积循环来沉 积期望的沉积的膜的膜厚度。在一些实施方式中,ALD循环可以每循环沉积 约1埃。取决于操作的暴露时间,每个循环可以沉积厚度在约0.05埃和约5 埃之间的膜,例如氧化硅膜或氮氧化硅膜。在一些实施方式中,可以每分钟 执行约2至约3个ALD循环。在一些实施方式中,可以每分钟执行多于约3 个循环,诸如在具有定位为更靠近衬底的入口的室中。
在一些实施方式中,ALD操作产生能够保护特征免受可以在同一 室中进行的后续ALE工艺中的横向蚀刻的共形膜。在一些实施方式中,ALD 被集成以在衬底上选择性地沉积膜,例如以在特征的角上沉积膜以保护它们 免受蚀刻工艺期间的侵蚀。在一些实施方式中,操作104和106中的至少一 个是自限性反应。在一些实施方式中,操作104和106中的至少一个尽可能 地作为自限性反应。例如,在一些实施方式中,只有操作106是自限性过。 在一些实施方式中,只有操作104是自限性过程。在一些实施方式中,操作 104和106都是自限性的。在多种实施方式中,操作104和106可以被顺序地 执行。顺序执行这些操作的示例在下面参考图3进一步描述。
如在图6中进一步示出的,在操作104处观察到与第一衬底材料 相关联的成核延迟。具体而言,如图6所示,在基本上由金属(例如钨 (W)或铜(Cu))、氧化硅(SiO2)、电介质(诸如铪(Hf)、锆(Zr) 或氧化锡(SnO2))构成的半导体衬底上沉积各种物质(例如氮化铝(AlN))。在一些实施方式中,如在图6中观察和显示的,在上述衬底材 料的任何一种或多种上由三甲基铝(Al2(CH3)6)前体沉积铝(Al)可导致 膜质量增长。
如图6所示,例如,在两种金属(即W和Cu)之间观察到的成 核延迟明显小于金属和电介质(例如W或Cu和SiO2)之间的成核延迟。此 外,在操作104中对ALD的观察可以指示金属衬底表面通常比电介质表面更 快地催化前体的分解。
此外,在沉积室(即待沉积的材料和/或物质,例如衍生自三甲基 铝(Al2(CH3)6)前体的铝(Al))中仔细暴露和/或处理沉积材料可使得 能在材料分解前例如在其上寻求沉积的电介质表面上成功沉积材料。尽管如 此,但在一些实施方式中,可以在完全还原的W或Cu表面和/或在低温下观 察到相反的成核行为。而且,可以观察到Al2(CH3)6倾向于以可预测的方 式,或“完全地”(“cleanly”)与富含羟基的表面反应。此外,在一些实施方 式中,可通过已知方法获得期望的成核延迟,因此省略对这些方法的进一步 讨论。
此外,在一些实施方式中,在操作104处,沉积可以选择性地避 免在半导体衬底的第二衬底材料(在该第二衬底材料上不准备沉积)上沉 积,即在与如先前基本描述的在第一衬底材料上发生沉积的相同室中不准备 在该第二衬底材料上沉积。
在操作104之后,在操作106,蚀刻沉积在衬底上的材料的一部 分以在不同衬底材料之间重设成核延迟差值。具体而言,在操作106中,在 室中通过ALE蚀刻衬底。ALE是一种使用顺序自限制反应去除薄的材料层的 技术。通常,可以使用任何合适的技术来执行ALE。原子层蚀刻技术的示例 在于2014年11月11日授权的美国专利No.8,883,028以及于2014年8月19 日授权的美国专利No.8,808,561中描述,为了描述示例性原子层蚀刻和蚀刻 技术,通过引用将这两个专利并入本文。在各种实施方式中,ALE可以用等 离子体执行,或者可以热执行。
如图1所示的操作106可以在多个循环中进行。“ALE循环”的 概念与本文中各种实施方式的讨论相关。通常,ALE循环是用于执行蚀刻工 艺一次(例如蚀刻单层)的最小操作集合。一个循环的结果是蚀刻衬底表面 上的膜层的至少一些。通常,ALE循环包括形成反应层的改性操作,接着是 去除操作以去除或仅蚀刻该改性层。该循环可包括某些辅助操作,例如扫除 反应物或副产物中的一种。通常,循环包含唯一操作序列的一个实例。例 如,ALE循环可以包括以下操作:(i)输送反应物气体,(ii)从室中清除 反应物气体,(iii)输送去除气体和任选的等离子体,以及(iv)清扫所述 室。在一些实施方式中,蚀刻可以非共形地进行。
在操作106处根据需要完成蚀刻之后,在操作108进一步将材料 选择性沉积在半导体衬底上,其中这种选择性沉积通常受益于操作104和 106等的成功完成所导致的沉积选择性的提高.
在一些实施方式中,操作102和104可以根据需要任选地重复, 如操作106和108可以根据需要任选地重复。此外,操作104可以由ALD完 成,而操作106可以例如由ALE完成。而且,操作102-108可以无限地重 复,直到获得期望的半导体特征轮廓。
此外,在一些实施方式中,与其上预期沉积的第一衬底材料相关 的成核延迟小于与其上预期不沉积的第二衬底材料相关的成核延迟。并且, 在一些实施方式中,成核延迟差值随着沉积的进行(即在操作104完成时) 而降低。
现在参考图2,示出了在本文公开的方法中执行操作的另一个实 施方式的工艺流程图。在一些实施方式中,图2所示的工艺流程可以与图1 所示的处理流程以基本相同的方式发生,因此省略对相同部分的重复描述。
参照图2中的操作206a和/或206b,如在图6中进一步所示,在 操作206a处观察到与第一衬底材料相关联的成核延迟ND1。
具体而言,如图6所示,两种金属(即W和Cu)之间观察到的 成核延迟比例如金属和电介质(W或Cu和SiO2)之间的成核延迟要小得 多。此外,如在操作206a中进行的,对操作204a中的ALD的观察可以指示 金属衬底表面通常会比电介质表面更快地催化前体的分解。
返回到图2,在操作204b,可以在其上不预期沉积的半导体衬底 的第二衬底材料上选择性地避免沉积,即在如先前基本描述的在第一衬底材 料上发生沉积的室相同的室中在该第二衬底材料上选择性地避免沉积。因 此,可以在操作206b处观察到成核延迟ND2。在一些实施方式中,与第一衬 底材料上的ALD相关联的成核延迟ND1可小于与第二衬底材料相关联的成 核延迟ND2,使得成核延迟差ΔND可在操作208中示出的ND2与ND1之间 计算。此外,在一些实施方式中,随着ALD的进展,ΔND可以接近零(0),如在例如操作204a所示。
在操作208中,在计算成核延迟差值ΔND之后,在操作204a处 在第一衬底材料上沉积的一部分材料和/或在操作204b处在第二衬底材料上 沉积的一部分材料通过例如ALE蚀刻以重置和/或以其他方式重设ΔND。
在操作210中,通过例如在室内执行一个或多个ALE循环来蚀刻 衬底。图3示出了ALE循环的两个示例性示意图,例如可用于实现图1和2 中所示的工艺。图300a-300e示出了通用ALE循环。在300a中,提供衬底。 在300b中,使衬底的表面改性。在300c中,准备下一步骤。在300d中,蚀 刻改性层。在300e中,去除改性层。类似地,图302a-302e示出了用于蚀刻硅膜的ALE循环的示例。在302a中,提供了包括许多硅原子的硅衬底。在 302b中,将反应物气体氯引入到衬底以使衬底的表面改性。作为示例,302b 中的示意图示出了一些氯被吸附到衬底的表面上。尽管在图3中描述了氯, 但是可以使用任何含氯化合物或合适的反应物。在302c中,从室中清除反应 物气体氯。在302d中,用如Ar+等离子体物质和箭头所示的定向等离子体引 入去除气体氩,并且执行离子轰击以去除衬底的改性表面。在该操作期间, 向衬底施加偏置以朝向衬底吸引离子。在302e中,室被清扫并且副产物被去 除。
循环可以仅部分蚀刻约0.1nm至约50nm的材料,或介于约 0.1nm至约5nm之间的材料,或介于约0.2nm至约50nm之间的材料,或介 于约0.2nm至约5nm之间的材料。在一个循环中蚀刻的材料量可能取决于与 ALD集成的目的。例如,如果ALD被集成以保护侧壁,则在ALE循环中蚀 刻的量可以变化,使得执行ALD保护特征底部的暴露的侧壁。在一些实施方 案中,ALE的循环可以去除少于单层的材料。
在图1的操作110中,蚀刻化学物质被引入室中。如本文所述, 在将材料引入室中的操作中,在涉及使用等离子体的原子层蚀刻的一些实施 方式中,可通过在处理衬底或晶片之前将化学物质引入室中来稳定反应器或 室。稳定室可以与在稳定之后的操作中将使用的化学物质使用相同的流速、 压力、温度和其它条件。在一些实施方式中,稳定室可涉及不同的参数。在 一些实施方式中,在操作110期间,使载气(例如N2、Ar、Ne、He及其组 合)连续地流动。在一些实施方式中,仅在去除期间使用载气。如下所述, 载气可以在一些操作中用作清扫气体。在一些实施方式中,在操作110期间 使用另一种反应物气体(例如氧气)来去除经改性的层。在一些实施方式 中,载气在去除期间不流动。
本文提供了可在图1中示出的操作106中以及图2中示出的210 中执行的改性和去除操作的示例。改性操作形成薄的、反应性的表面层,所 述反应性表面层具有在随后的去除操作中比未改性的材料更容易去除的厚 度。在改性操作中,可以通过将氯引入室来将衬底氯化。氯在所公开的实施 方式中用作示例性蚀刻剂物质,但是应当理解,在一些实施方式中,将不同 的蚀刻气体引入到室中。蚀刻气体可以根据要蚀刻的衬底的类型和化学性质来选择。在一些实施方式中,可以点燃等离子体,并且氯与衬底反应以用于 蚀刻工艺。在一些实施方式中,氯可以与衬底反应或可以被吸附到衬底的表 面上。在多种实施方式中,氯以气体形式引入室中,并且可以任选地伴随有 可以是上述那些载气中的任一种的载气。由氯等离子体产生的物质可以通过 在容纳衬底的处理室中形成等离子体而直接产生,或者它们可以在不容纳衬 底的处理室中远程产生,并且可以供应到容纳衬底的处理室中。在一些实施 方式中,不使用等离子体,并且可以将氯气通过加热方式引入室中。
在多种实施方式中,等离子体可以是电感耦合等离子体或电容耦 合等离子体。电感耦合等离子体可以设定为介于约50W和约2000W之间的 等离子体。在一些实施方式中,可以施加介于约0V和约500V之间的偏置。
在一些实施方式中,在改性操作之后可以执行清扫。在该清扫操 作中,可以从处理室中去除非表面结合的活性氯物质。这可以通过清扫和/或 抽空处理室以去除活性物质而不去除吸附层来完成。在氯等离子体中产生的 物质可以通过简单地停止等离子体并允许剩余物质衰减(decay)任选地与室 的清扫和/或抽空结合来去除。可以使用任何惰性气体(如N2、Ar、Ne、He 及其组合)进行清扫。
在去除操作中,衬底可暴露于能量源(例如,活化或溅射气体或 诱发去除的化学反应性物质),例如氩或氦,以通过定向溅射来蚀刻衬底。 在一些实施方式中,去除操作可以通过离子轰击来执行。在去除期间,可以 可选地打开偏置以便于定向溅射。在一些实施方式中,ALE可以是各向同性 的。
可以控制溅射气体的量,以便仅蚀刻目标量的材料。在多种实施 方式中,室的压力可在改性操作和去除操作之间变化。气体的压力可以取决 于室的尺寸、气体的流速、反应器的温度、衬底的类型和要蚀刻的衬底的尺 寸。如果在去除期间打开偏置,则对于蚀刻诸如非晶硅之类的软材料中的特 征、沟槽或孔洞,可以将偏置设定为约50eV。在一些实施方式中,室可在去 除操作后清扫。清扫工艺可以是改性操作后用于清扫的工艺中的任何一种。
在多种实施方式中,改性和去除操作可以重复若干循环,例如约 1至约30个循环,或约1至约20个循环。可以包括任何合适数量的ALE循 环来蚀刻期望量的膜。在一些实施方式中,循环执行ALE以蚀刻在衬底上的 层的表面介于约1埃与约50埃之间。在一些实施方式中,ALE循环蚀 刻在衬底上的层的表面介于约2埃与约50埃之间。
在一些实施方式中,本文讨论的所描述的ALD和ALE工艺可以 在不同的室中执行,其中衬底在室之间传输而不破坏真空。在其他实施方式 中,所描述的ALD和ALE工艺可以在相同的室中执行,而在沉积和蚀刻操 作之间没有真空破坏。
返回图2,在操作212处可选地施加偏置。施加偏置可以蚀刻侧 壁,因此在多种实施方式中,偏置可以不被连续施加并且可以在ALD和 ALE的多次循环之后施加。可以执行该操作以突破通过ALD沉积的膜。在 一些实施方式中,偏置被设置为大约80eV以突破通过ALD循环沉积的层。
操作214确定是否已经执行了ΔND的充分重置和/或重设。如果 ΔND未被充分重置,则可以重复操作204a和/或204b-214。此外,在一些实 施方式中,操作204a和/或204b-214在相同的室中执行,或者如在初始循环 中那样在重复循环中没有破坏真空的情况下执行。
图4提供了用于实现所公开的实施方式的工艺的进一步说明,其 在单个工艺流程中组合了ALE和选择性ALD操作。在操作400中,容纳在 室中的衬底可以暴露于蚀刻剂或蚀刻气体以使衬底的表面改性。这可以被称 为“表面改性”操作。在此操作期间,在蚀刻剂流入室的同时,载气可以可 选地流动。载气可以是上面参照图1-3所描述的任何载气。在一些实施方式 中,载气在表面改性期间不流动。蚀刻剂可以流动,使得其仅使衬底的停止 表面改性。在多种实施方式中,可以在操作400期间施加偏置。偏置可以在 约0V与约500V之间的功率下施加。
返回至图4,在操作402中,可以通过以下方式从衬底去除衬底 的改性层:在去除操作中将衬底暴露于溅射气体,所述溅射气体可以与载气 相同或不同。在多种实施方式中,在该操作中可以蚀刻衬底表面的至少一部 分。根据这些操作,可以使用上面关于图2中所示的操作210提供的示例。 在一些实施方式中,执行操作400和402可以构成执行一次ALE。在一些实 施方式中,操作400和402可以可选地重复一次或多次(例如,在一个或多 个循环中)。
操作402可对应于去除阶段。在一些实施方式中,循环可以包括 更多的操作。在去除期间,载气可以流动而任何其他化学物质不流动。载气 可以流动以帮助促进改性层在其被蚀刻时的清扫。
在操作404中,将衬底暴露于第一反应物以将第一反应物吸附到 至少一些衬底表面上。该操作可以在不破坏执行操作116和118的真空的情 况下执行。在一些实施方式中,第一反应物是如本文所述的膜前体。在多种 实施方式中,第一反应物可以吸附到衬底的活性位点上。上述ALD循环期间 的吸附示例与此操作有关。
操作404可以对应于第一前体暴露阶段。在此操作期间,第一前 体可以与可选的载气一起流动。注意,在该操作期间,下面将进一步描述的 蚀刻剂流和第二反应物流可能不流动。该操作可以进行足够长的时间以使衬 底的至少部分表面饱和。在一些实施方式中,表面的至少约40%,或至少约 60%,或至少约80%,或约100%可以是饱和的。
在一些实施方式中,在执行第一前体暴露阶段之后,容纳衬底的 室可以任选地在清扫阶段被清扫。在清扫阶段期间,载气流动以去除没有吸 附到衬底表面上的过量的第一前体。在一些实施方式中,不执行清扫阶段。
回到图4,可以执行操作406,使得衬底暴露于第二反应物。在 多种实施方式中,第二反应物可以是还原剂。第二反应物与吸附层反应以在 衬底上沉积薄膜。注意,在一些实施方式中,操作404和406可以反向执 行,使得在将第一反应物吸附到衬底的表面之前执行操作406。
操作406可对应于第二反应物暴露阶段。在此阶段期间,第二反 应物流动,并且载气可选地流动。在一些实施方式中,第二反应物在没有载 气的情况下流动。在此阶段期间,蚀刻剂和第一前驱体流不会接通。在多种 实施方式中,第二反应物暴露阶段还可以包括点燃等离子体(图中未示 出)。在一些实施方式中,可以在不使用等离子体的情况下沉积薄的膜层。 在一些实施方式中,在清扫阶段期间,室任选地在第二反应物暴露阶段之后 被清扫。可以执行清扫阶段以从室中除去气相中的副产物或过量的第二反应 物。在一些实施方式中,室未被清扫。
图4的操作404和406可以可选地重复一次或多次。执行操作 404和406可以构成如上参考图1所述的ALD循环。在多种实施方式中,操 作400至406被重复一次或多次。操作400和402的重复循环与操作404和 400的重复循环的组合可以以进一步的循环形式执行。例如,在一些实施方 式中,循环可以包括执行操作400-402两次,和/或执行操作404-406三次, 并且还可以重复两次或更多次。在一些实施方式中,操作400-402和操作 404-406的循环被顺序执行。例如,在执行操作400-402之后,执行操作404- 406,和/或反之亦然。工艺中使用的循环频率和循环数量可以取决于所公开 的实施方式所使用的应用的类型。
参考图5,示出了针对逐步交替沉积程序(诸如在图2的操作 204a中完成的ALD)和蚀刻程序(诸如在图2的操作210中完成的ALE)评 估的膜厚度的曲线图。具体地,在一些实施方式中,氮化铝(AlN)可以通 过ALD沉积在诸如二氧化硅(SiO2)之类的电介质和/或诸如铜(Cu)之类 的金属之上。替代地,在一些实施方式中,AlN或其他材料(如SiO2和/或 Cu)可以沉积在基本上由氮化铝(AlN)制成的衬底上。
如图5所示,在包含SiO2或Cu的衬底上沉积AlN导致例如相对 于其上沉积AlN的衬底(即SiO2或Cu)的不同的AlN膜厚度生长图案。所 沉积的材料和/或膜的相对厚度,即“膜厚度”可以基本上如图所示进行,对 于沉积在SiO2衬底上的AlN观察到快速生长。相比之下,由于与这种沉积相 关的成核延迟,沉积在Cu衬底上的AlN的膜厚度生长例如可以保持停滞一 段初始时间。随后的蚀刻操作随后减少沉积在SiO2衬底和/或Cu衬底上的 AlN的膜厚度,其中沉积在Cu衬底上的AlN膜返回和/或被重置为初始零厚 度状态。如所讨论的,可以根据需要重复这种沉积和蚀刻操作和/或循环,以 在例如SiO2衬底和/或Cu衬底上实现AlN的某些期望的膜厚度。
装置
图7绘出了具有用于保持低压环境的处理室主体702的原子层沉 积(ALD)处理站700的一实施方式的示意图,该低压环境适于进行本发明 所述的选择性沉积。多个ALD处理站700可以包含在通常低压处理工具环境 中。例如,图8绘出了多站式处理工具800的一实施方式。在一些实施方式 中,ALD处理站700的一个或一个以上的硬件参数(包含下文详细讨论的那些) 可以由一个或一个以上的计算机控制器750以编程方式调节。
ALD处理站700与反应物输送系统701a流体连通,以将处理气 体输送至分配喷头706。反应物输送系统701a包含混合容器704,混合容器 704用于混合和/或调节处理气体以输送至喷头706,处理气体如氨基硅烷前 体气体、或氧化剂气体(例如臭氧)、或氨气和/或氮气。一个或一个以上的 混合容器入口阀720可以对处理气体导入至混合容器704进行控制。氮等离 子体和/或氨等离子体也可被输送到喷头706或可在ALD处理站700中产 生。
举例而言,图7的实施方式包含汽化点703,汽化点703用于汽 化将供应至混合容器704的液体反应物。在一些实施方式中,汽化点703可 以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送 管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能 阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/ 或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环 时间,降低处理站吞吐量。因此,在一些实施方式中,汽化点703下游的输 送管道可以被热追踪。在一些实施例中,混合容器704也可以被热追踪。在 一个非限制性示例中,汽化点703下游的管道具有增大的温度分布,在混合 容器704处从约100℃延伸至约150℃。
在一些实施方式中,液体前体或者液体反应物可以在液体喷射器 处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的 载气流中。在一个实施方式中,液体喷射器可以通过将液体从较高压闪变到 较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下 来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快 汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小 汽化点703下游的管道长度。在一个方案中,液体喷射器可以直接装载到混 合容器704。在另一个方案中,液体喷射器可以直接装载到喷头706。
在一些实施方式中,可以在汽化点703上游设置液体流控制器 (LFC)来控制用于汽化并输送至处理站700的液体的质量流量。例如,LFC可 以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电 通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞 阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可 以延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈 控制模式和直接控制模式之间动态切换。在一些实施方式中,这可以通过禁 用PID控制器和LFC的感测管来执行。
喷头706朝衬底712分配处理气体。在图7所示的实施方式 中,衬底712位于喷头706下方,并且示出为安置在基座708上。喷头706 可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处 理气体分配至衬底712。
在一些实施方式中,基座708可以升高或降低以暴露衬底 712给衬底712和喷头706之间的体积。应理解的是,在一些实施方式中,基 座高度可以经由合适的计算机控制器750通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方式中,调节 基座708的高度可以使得等离子体密度在过程中的等离子体活化循环期间内 改变。在处理阶段结束时,基座708可以在另一衬底传送阶段被降低以使得 衬底712能从基座708移走。
在一些实施方式中,基座708可通过加热器710进行温度控 制。在一些实施方式中,基座708可被加热到至少约250℃的温度,或者在 一些实施方式中,小于约300℃的温度,例如,如公开的实施方式中所述, 在沉积氮化硅膜的过程中,被加热到约250℃。在一些实施方式中,基座设 定在约50℃与约300℃之间的温度下,例如在约200℃与约275℃之间的温度 下。在一些实施方式中,基座设定在约50℃和约300℃之间的温度下。在一 些实施方式中,基座设定在约200℃和约275℃之间的温度下。
此外,在一些实施方式中,对于处理站700的压力控制可 以由蝶形阀718提供。如在图7的实施方式中所示,蝶形阀718对由下游真 空泵(图中未示出)提供的真空进行调节。然而,在一些实施方式中,对处理 站700的压力控制还可以通过改变引入至处理站700的一种或多种气体的流 率来调节。
在一些实施方式中,喷头706的位置可以相对于基座708调 节以改变衬底712和喷头706之间的体积。此外,应当理解的是,基座708 和/或喷头706的垂直位置可以通过本公开内容的范围内的任何合适的机构来 改变。在一些实施方式中,基座708可包含用于旋转衬底712的方位的旋转 轴线。应该理解的是,在一些实施方式中,这些示例性调节中的一种或多种 可以通过一个或多个适当的计算机控制器750以编程方式执行。
在如上所述可以使用等离子体的一些实施方式中,喷头706 和基座708电连接射频(RF)功率源714和匹配网络716来对等离子体提供功 率。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的 浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来 控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操 作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施 例为约150W至约6000W。在氧化硅上相对于氮化硅选择性沉积氧化硅之 前,在处理氮化硅表面期间可以使用等离子体。RF功率源714可以提供任 何适当频率的RF功率。在一些实施方式中,RF功率源714可以被配置为控 制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以 包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率 可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,或大于 约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应 当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等 离子体能量。
在一些实施方式中,等离子体可由一个或多个等离子体监 控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流 传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理 气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方 式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测 量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供 对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其 它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限 于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令 来提供用于控制器750的指令。在一个示例中,用于设置工艺阶段的条件的 指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶 段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在 一些实施方式中,用于设定一个或多个反应器参数的指令可以被包含在配方 阶段中。例如,第一配方阶段可以包含用于设置惰性气体和/或氨和/或氮反应 物气体的流率的指令,用于设定载气(例如氩)的流率的指令,用于点燃等 离子体的指令以及用于第一配方阶段的时间延迟指令。第二配方阶段可以包 括用于设定惰性气体和/或氨基硅烷硅前体气体的流率的指令、用于设定载气 (诸如氩气)的流率的指令;以及用于第二配方阶段的时延指令。接下来的第 三配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指 令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时 延指令。第四配方阶段可以包含用于调节氧化剂气体(例如臭氧)的流率的 指令、用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的 时延指令。随后的第五配方阶段可以包含用于调节或停止惰性气体和/或反应 物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及 用于第五配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配 方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方式中,控 制器750可以包括下面关于图8的系统控制器850所描述的任何特征。
如上所述,一个或一个以上的处理站可以包含在如图8所 示的多站处理工具800中。在一些实施方式中,多站处理工具可以来自Lam Research(Fremont,CA)的工具和/或产品的VECTOR系列产品,并且执行 或以其他方式协助ALD,如结合图1-3所呈现和描述的。图8示出了多站式 处理工具800的实施方式的概要视图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。处于大气压的机械手806被 配置为将晶片从通过舱808装载的盒经由大气端口810移动至入站装载锁 802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气 端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片 在被引入处理室814之前,可以暴露至装载锁中的远程等离子体处理以处理 氮化硅表面。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且 另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的 反应器中以用于处理。尽管在图8中绘出的实施方式包含装载锁,但应该理 解的是,在一些实施方式中,可以使衬底直接进入处理站。
绘出的处理室814包含4个处理站,图8所示的实施方式中 编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入 口。应该理解的是,在一些实施方式中,每个处理站可以具有不同或者多个 用途。例如,在一些实施方式中,处理站可以是可在ALD与等离子体增强的 ALD处理模式之间切换的。附加地或替代地,在一些实施方式中,处理室814可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽 管绘出的处理室814包含4个站,但要理解的是,根据本公开所述的处理室 可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5 个或5个以上的站,而在其它实施方式中,处理室可以具有3个或者更少的 站。
在一些实施方式中,图8所示出的可以与用于在处理室814 内传输晶片的晶片搬运系统相互作用和/或以其他方式接合。在一些实施方式 中,晶片搬运系统可以在各种处理站之间和/或处理站与装载锁之间传输晶 片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含 晶片转盘和搬运晶片的机械手。图8还绘出了采用来控制处理工具800的处 理条件和硬件状态的系统控制器850的实施方式。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处 理器852。处理器852可以包含计算机或者CPU、模拟和/或数字输入/输出连 接、步进马达控制器板等。
在一些实施方式中,系统控制器850控制处理工具800的所 有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备 856、并由处理器852执行的系统控制软件858。可替代地,控制逻辑可以在 控制器850中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程 栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软 件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件 858可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/ 或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或 基座位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控 制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或 者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操 作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,系统控制软件858可以包含用于控制 上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用 与系统控制器850关联的、存储在海量存储设备854和/或存储器设备856的 其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定 位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子 体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该 处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其 它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,如本文 所述的氨基硅烷气体、和氧化剂气体、氨、氮气、载气和/或清扫气体)和流率 的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处 理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的 排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代 码。
加热器控制程序可包含用于控制流向用于加热衬底的加热 单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝 向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方式设置施 加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方式保持反应 室内的压强的代码。
在一些实施方式中,可以存在与系统控制器850相关联的 用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示 器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器850调节的参数会涉及工艺 条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件 (例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方 可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输 入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工 具800的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制 性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适 当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处 理条件。
系统控制器850可以提供用于执行上述沉积处理的程序指令。 所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、 压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施 方式操作膜叠层的原位沉积。
系统控制器850将通常包含一个或多个存储器设备和被配置成 执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方式所述 的方法。包含用于控制根据所公开的实施方式的处理操作的指令的机器可读 的介质可以耦合到系统控制器850。
在一些实现方式中,系统控制器850是系统的一部分,该系统 可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体 处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或 多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用 于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一 体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各 种元件或子部件。根据处理要求和/或系统的类型,系统控制器850可以被编 程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加 热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF 匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶 片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载 锁。
广义而言,系统控制器850可以定义为接收指令、发布指令、 控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、 存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的 芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个 或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是 以各种单独设置的形式(或程序文件)传送到系统控制器850的指令,该设置定 义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作 参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备 晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路 和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器850可以是与系统集成、耦合 或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机 耦合。例如,系统控制器850可以在“云端”或者是晶片厂(fab)主机系统的 全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的 远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多 个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随 当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器) 可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程 计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置 然后从远程计算机传送到系统。在一些实施例中,系统控制器750接收数据 形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的 参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控 制器750被配置成连接或控制该工具类型。因此,如上所述,系统控制器 750可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控 制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制) 工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺 的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分) 通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包含等离子体蚀刻室或 模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模 块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积 (CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室 或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或 使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控 制器850可以与一个或多个其它的工具电路或模块、其它工具组件、组合工 具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主 机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位 置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交 的名称为“等离子体激活的共形膜沉积”的美国专利申请No.13/084399(现在 的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方 法”的美国专利申请No.13/084305中进一步讨论并说明,这些专利中的每个 整体并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用, 例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常, 虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜 的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的 工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用 热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机 之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影 以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案 化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜 或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去 除抗蚀剂。
现在参考图9,在某些实施方式中可适用于原子层蚀刻(ALE)操 作和/或原子层沉积(ALD)操作的电感耦合等离子体(ICP)反应器现在进 行描述。这种ICP反应器也在于2013年12月10日提交的名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLEPATTERNING”的美国 专利申请公开No.2014/0170853中提出,通过引用将其整体并入本文并用于 所有目的。尽管这里描述了ICP反应器,但在一些实施方式中,应当理解, 也可以使用电容耦合等离子体(CCP)反应器。
图9示意性地示出了适于实施本文的某些实施方式的感应耦合 等离子体集成蚀刻和沉积装置900的横截面图,其示例是KiyoTM反应器,由 加利福尼亚州弗里蒙特的LamResearch Corp.生产。在一些实施方式中,如结 合图1-4所示和所述的ALE可主要在KiyoTM反应器上进行。所述感应耦合等 离子体装置900包括由室壁901和在室壁901之间延伸的窗911在结构上限 定的总处理室。室壁901可以由不锈钢或铝制成。窗911可以由石英或其他介电材料制成。任选的内部等离子体栅格950将总处理室分为上副室902和 在其下面的下副室903。此外,在一些实施方式中,等离子体栅格950可以 被移除,从而创建和/或利用由副室902和903两者构成的室空间。卡盘917 定位在下副室903中在底部内表面附近。卡盘917被配置成接收和保持在其 上执行蚀刻和沉积工艺的半导体晶片919。卡盘917可以是当晶片919存在 时用于支撑晶片919的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘917,并具有大致与晶片919(当晶片存在于卡盘917上方时)的顶面在同一 平面的上表面。在一些实施方式中,卡盘917还包括用于夹紧和松开晶片的 静电电极。可设置过滤器和DC钳位功率源(在图中未示出)用于此目的。也可 以提供其他的控制系统用于提升晶片919使其离开卡盘917。卡盘917可以 用RF电源923充电。RF电源923通过连接件927被连接到匹配电路921。 匹配电路921通过连接件925连接到卡盘917。以这种方式,RF电源923被 连接到卡盘917上。
用于等离子体产生的元件包括位于窗911上方的线圈933。在 一些实施方式中,所公开的实施方式中未使用线圈。线圈933由导电材料制 成,并包括至少一整匝。在图9中所示的线圈933的示例包括三匝。线圈 933的横截面用符号示出,具有“X”符号的线圈表示线圈933旋转地延伸到页 面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体 产生的元件还包括被配置为提供RF功率至线圈933的RF电源941。一般 地,RF电源941通过连接件945被连接到匹配电路939。匹配电路939通过 连接件943连接到线圈933。以这种方式,RF电源941被连接到线圈933。 任选的法拉第屏蔽件949被定位在线圈933和窗911之间。法拉第屏蔽件949 以相对于线圈933成隔开的关系被保持。法拉第屏蔽件949被设置在窗911 的正上方。线圈933、法拉第屏蔽件949和窗911各自被配置为基本上彼此 平行,例如在图9所示的配置中。法拉第屏蔽件可以防止金属或其它物质沉 积在等离子体室的介电窗上。
处理气体(例如氯气、氩气、四氯化硅、氧气、氮气等)可以通 过位于上室中的一个或多个主气体流入口960和/或通过一个或多个侧气体流 入口970流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用 于向电容耦合等离子体(CCP)处理室供应处理气体。真空泵,例如,一级或两 级干式机械泵和/或涡轮分子泵940,可用于将处理气体从处理室924抽出并 维持处理室900内的压强。例如,该泵可用于在ALD清扫操作过程中排空室901。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制 由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用 封闭环控制的流量限制装置例如节流阀(图9中未示出)或钟摆阀(图9中未示 出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体(CCP)处理室 上的真空泵和/或阀。
在ICP装置900的操作过程中,一种或多种处理气体可通过气 体流入口960和/或970供给。在某些实施方式中,处理气体可以仅通过主气 体流入口960供给,或者仅通过侧气体流入口970供给。在一些情况下,在 图中所示的气体流入口可以例如由较复杂的气体流入口替代和/或由一个或多 个喷头替代。法拉第屏蔽件949和/或任选的栅格950可以包括使处理气体能 输送至室的内部通道和孔。法拉第屏蔽件949和任选的栅格950中的一者或 两者可以作为用于输送处理气体的喷头。在一些实施方式中,液体蒸发和输 送系统可位于室901的上游,使得一旦液体反应物或前体被蒸发,那么蒸发 的反应物或前体通过气体流入口960和/或970引入到室中。示例性的液体前 体包括SiCl4和硅酰胺。
射频功率从RF电源941供给到线圈933以使RF电流流入和/或 流过线圈933。流过线圈933的RF电流产生围绕线圈933的电磁场,该电磁 场产生在上副室902内的感应电流。所生成的各离子和自由基与晶片919的 物理和化学相互作用选择性地在晶片上蚀刻特征和沉积层,例如,在图1所 示的ALE和/或ALD工艺所讨论和描述的。
如果使用等离子体栅格使得存在上副室902和下副室903二 者,则感应电流作用于存在于上副室902中的气体上以在上副室902中产生 电子-离子等离子体。任选的内部等离子体栅格950限制下副室903中的热电 子的量。在一些实施方式中,设计和操作所述装置使得存在于下副室903中 的等离子体是“离子-离子”等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可 包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子:阳离子比 率。挥发性的蚀刻和/或沉积的副产物可通过端口922从下副室903去除。本 文所公开的卡盘917可在约10℃和约250℃之间的升高的温度范围内操作。 该温度将取决于工艺操作和具体配方。
室901当安装在超净室或制造厂中时可耦合到设施(图9未示 出)。这样的设施包括管道,管道提供处理气体、真空、温度控制和/或环境微 粒控制。这些设施当安装在目标制造厂时耦合到室901。此外,室901可耦 合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进 出室901。
在一些实施方式中,系统控制器930(其可以包括一个或多个 物理或逻辑控制器)控制处理室的操作中的一些或全部。系统控制器930可 以包括一个或多个存储器设备和一个或多个处理器。在一些实施方式中,该 装置包括在执行所公开的实施方式时用于控制流率和持续时间的切换系统。 在一些实施例中,该装置可具有高达约500ms或高达约750ms的切换时间。 例如,切换时间可以取决于流动化学物质组成、配方选择、反应器架构以及 各种其他因素。
在一些实现方式中,系统控制器或控制器930是系统的一部 分,该系统可以是上述示例的一部分或以其他方式与上述示例集成。这种系 统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一 个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、 气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期 间和之后控制它们的操作的电子器件集成。电子器件可以统称为“控制 器”,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或 系统类型,控制器930可以被编程以控制本文公开的任何工艺,包括控制处 理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功 率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设 置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,控制器930可以定义为电子器件,电子器件具有接 收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成 电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式 的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、 和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程 序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令, 单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统 执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程 师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、 硅、二氧化硅(SiO2)、表面、电路和/或晶片的管芯的制造期间完成一个或多 个处理步骤。
在一些实现方式中,系统控制器930可以是与系统集成、耦合 到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算 机。例如,控制器可以在“云”和/或“云”式计算机网络中或在晶片厂 (fab)主机系统的全部或至少一部分中,其可以允许对晶片处理的远程访 问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过 去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理 的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例 中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特 网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/ 或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一 些示例中,控制器930接收数据形式的指令,其指定在一个或多个操作期间 要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的 类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如 上所述,系统控制器930可以是例如通过包括联网在一起并朝着共同目的 (例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。 用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程 计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成 电路,其组合以控制在室上的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积 室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边 缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD) 室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模 块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造 和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器 可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工 具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控 制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的 材料运输中使用的工具通信。
图10描绘了具有与真空传输模块1038(VTM)接口的各种模 块的半导体处理集群架构。在多个存储设施和处理模块之间“传输”晶片的 传输模块的布置可以被称为“群集工具架构”系统。气闸1030(也称为”装 载锁”或传输模块)被显示在具有四个处理模块1020a-1020d的VTM 1038 中,四个处理模块1020a-1020d可以被单独优化以执行各种制造工艺。例如,处理模块1020a-1020d可以被实现为执行衬底蚀刻、沉积、离子注入、 晶片清洗、溅射和/或其它半导体相关的工艺。在一些实施方式中,ALD和 ALE在同一模块中执行。在一些实施方式中,ALD和ALE在相同工具的不 同模块中执行。一个或多个衬底蚀刻处理模块(1020a-1020d中的任何一个) 可以如本文所公开的那样实现,即用于沉积共形膜、选择性通过ALD沉积 膜、蚀刻图案以及根据所公开的实施方式的其他合适的功能。气闸1030和处理模块1020可以被称为“站”。每个站具有将站与VTM 1038接口的小平面 1036。在每个小平面内,传感器1-18用于当晶片1026在各个站之间移动时 检测晶片1026的通过。
机械手1022在站之间传输晶片1026。在一个实施方式中,机 械手1022具有一个臂,并且在另一个实施方式中,机械手1022具有两个 臂,其中每个臂具有末端执行器1024以拾取晶片(例如晶片1026)用于运 输。在大气传输模块(ATM)1040中,前端机械手1032用于将晶片1026从 装载端口模块(LPM)1042中的盒或正面开口标准盒(FOUP)1034传输到 气闸1030。处理模块1020a和/或1020d内的模块中心1028是用于放置晶片 1026的合适位置。ATM 1040中的对准器1044用于对准晶片。
在示例性处理方法中,晶片被放置在LPM 1042中的FOUP 1034中的一个内。前端机械手1032将晶片从FOUP 1034传输到对准器1044,这使得晶片1026能够在被蚀刻或处理之前适当地居中。在对准之后, 晶片1026被前端机械手1032移动到气闸1030中。由于气闸模块具有匹配 ATM和VTM之间的环境的能力,所以晶片1026能够在两个压力环境之间移 动而不被损坏。从气闸模块1030,晶片1026由机械手1022经由VTM 1038 移动到处理模块1020a-1020d中的一个内。具体而言,机械手1022使用定位 于其每个臂上的端部执行器1024,例如以抓取晶片1026以将其如所描述的 那样移动,即通过VTM 1038将其移动到处理模块1020a-1020d中的一个 中。一旦晶片1026已经被处理,其就被机械手1022从处理模块1020a-1020d 移动到气闸模块1030。从这里,晶片1026可以由前端机械手1032移动到 FOUP1034中的一个或移动到对准器1044。
应当注意,控制晶片移动的计算机可以在集群架构本地,或者 可以位于制造车间中的集群架构外部或者位于远程位置,并且经由网络连接 到集群架构。此外,在一些实施方式中,上面关于图7-9描述的控制器可以 利用图10中的工具来实现。
此外,在结合图1和/或图2示出和描述的一些实施方式中,即 在操作104和/或204a处通过ALD选择性地沉积以及随后在操作106和/或 210处通过ALE在不同的衬底材料之间的成核延迟差值的重置可以在图7-10 中所示和讨论的装置中的任何一个或多个中实践和/或以其他方式进行。
优选地,在一些实施方式中,操作104和/或204a中的ALD通 过等离子体增强化学气相沉积(PECVD)产品来执行,例如通过可从Lam Research Corporation(Fremont,CA)得到的组产品中的那些来执 行。此外,Lam Research开发的其他混合工具可以在公共平台上包括 和KiyoTM产品和/或工具两者。具体而言,这样的平台可以包括针 对完成在产品上的ALD程序和在KiyoTM产品上的ALE程序的配 置,其中和KiyoTM在操作上集成在公共集群中。这种公共集群可 以允许本文所述的ALD和/或ALE程序在没有真空破坏或者没有破坏真空的 情况下完成,因此在真空沉积和/或蚀刻室内和/或在真空沉积和/或蚀刻室之 间提供传统工艺循环的有用替代。
此外,在图1-4中示出和讨论ALD和/或ALE程序的一个或多 个(或全部)的任意组合和/或可以在没有另外包括衬底表面完整性的情况下 完成。此外,涉及和KiyoTM产品和/或工具的整合的实施方式可能 导致始终最佳,而不是仅试图完成例如在KiyoTM产品上讨论的ALD和/或 ALE程序。此外,在一些实施方式中,在同一集群中的产品和 KiyoTM产品的放置(其中在产品上执行ALD并且在KiyoTM上执 行ALE)可以消除或显著减少关于脱靶沉积的生长缺陷。
所描述的ALD和/或ALE程序与其他半导体相关工艺的附加集 成是可能的。具体而言,结合图1-3所示出和描述的可以用间隙填充应用、 图案化、来自初始层的后续层的选择性层生长和/或在蚀刻(诸如ALE)程序 期间的选择性保护层来实现。
结论
虽然上述实施例已经为了清楚理解的目的在一些细节方面进行 了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内 实施。应当注意的是,具有实施本发明的实施方式的过程、系统和装置的许 多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性 的,并且所述实施方式并不限于本文所给出的细节。
Claims (10)
1.一种在半导体衬底上进行沉积的方法,所述方法包括:
在半导体衬底上选择性沉积材料,所述衬底包括多种衬底材料,所述多种衬底材料具有对应于根据成核延迟差值在其上所沉积的所述材料的不同核化延迟;
蚀刻沉积在所述衬底上的所述材料的一部分以重设所述衬底材料之间的成核延迟差值;并且
进一步在所述衬底上选择性沉积所述材料。
2.根据权利要求1所述的方法,其中与第一衬底材料相关联的成核延迟小于与第二衬底材料相关的成核延迟,其中在所述第一衬底材料上预期进行沉积,而在所述第二衬底材料上预期不进行沉积。
3.根据权利要求2所述的方法,其中所述成核延迟差值随着所述沉积的进行而减小。
4.根据权利要求1所述的方法,其中所述蚀刻循环执行,循环包括将所述衬底暴露于蚀刻气体以使所述衬底的表面改性;以及
将所述衬底暴露于去除气体以去除经改性的所述表面的至少一些。
5.根据权利要求1所述的方法,其中所述沉积循环进行,所述循环包括将所述衬底暴露于沉积前体以使所述衬底的表面改性;以及将所述衬底暴露于还原剂以沉积所述材料。
6.根据权利要求1所述的方法,其中重复在所述衬底上选择性沉积所述材料并且蚀刻所沉积的所述材料的一部分使所沉积的所述材料变厚,而不导致沉积选择性的相应破坏。
7.根据权利要求1所述的方法,其中重复在所述衬底上选择性沉积所述材料并蚀刻所沉积的所述材料的一部分防止脱靶沉积。
8.根据权利要求1所述的方法,其中待沉积的所述材料为氮化铝(AlN)。
9.根据权利要求1所述的方法,其中所述多种衬底材料选自由氧化硅(SiO2)、氮化硅(Si3N4)、碳化硅(SiC)、氧化铝(Al2O3)和氮化铝(AlN)组成的群组。
10.根据权利要求1所述的方法,其中,所述多种衬底材料选自由铪(Hf)、锆(Zr)和氧化锡(SiO2)组成的群组。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762487411P | 2017-04-19 | 2017-04-19 | |
US62/487,411 | 2017-04-19 | ||
US15/581,951 | 2017-04-28 | ||
US15/581,951 US10559461B2 (en) | 2017-04-19 | 2017-04-28 | Selective deposition with atomic layer etch reset |
Publications (2)
Publication Number | Publication Date |
---|---|
CN108735675A true CN108735675A (zh) | 2018-11-02 |
CN108735675B CN108735675B (zh) | 2024-03-15 |
Family
ID=63854060
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201810154686.8A Active CN108735675B (zh) | 2017-04-19 | 2018-02-23 | 氧化硅的选择性沉积 |
Country Status (6)
Country | Link |
---|---|
US (2) | US10559461B2 (zh) |
JP (1) | JP2018182322A (zh) |
KR (2) | KR102608585B1 (zh) |
CN (1) | CN108735675B (zh) |
SG (1) | SG10201800863VA (zh) |
TW (1) | TW201903833A (zh) |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
JP7190814B2 (ja) | 2017-02-13 | 2022-12-16 | ラム リサーチ コーポレーション | エアギャップの形成方法 |
US10176984B2 (en) | 2017-02-14 | 2019-01-08 | Lam Research Corporation | Selective deposition of silicon oxide |
US10242866B2 (en) | 2017-03-08 | 2019-03-26 | Lam Research Corporation | Selective deposition of silicon nitride on silicon oxide using catalytic control |
US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10460930B2 (en) | 2017-11-22 | 2019-10-29 | Lam Research Corporation | Selective growth of SiO2 on dielectric surfaces in the presence of copper |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
US11101163B2 (en) * | 2018-01-30 | 2021-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Systems and methods for automated robotic arm sensing |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
KR102642011B1 (ko) | 2018-03-30 | 2024-02-27 | 램 리써치 코포레이션 | 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing) |
KR102626263B1 (ko) * | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
SG11202112768QA (en) * | 2019-05-20 | 2021-12-30 | Lam Res Corp | SixNy AS A NUCLEATION LAYER FOR SiCxOy |
WO2020263757A1 (en) * | 2019-06-27 | 2020-12-30 | Lam Research Corporation | Alternating etch and passivation process |
JP6953480B2 (ja) * | 2019-07-31 | 2021-10-27 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US20210375600A1 (en) * | 2020-06-02 | 2021-12-02 | Applied Materials, Inc. | Self-assembled monolayer deposition from low vapor pressure organic molecules |
KR20220107635A (ko) * | 2021-01-25 | 2022-08-02 | 에스케이하이닉스 주식회사 | 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법 |
JP2024536376A (ja) | 2021-10-05 | 2024-10-04 | アプライド マテリアルズ インコーポレイテッド | 低抵抗率のタングステン特徴を形成するための方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080268635A1 (en) * | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
US20160056074A1 (en) * | 2014-08-21 | 2016-02-25 | Lam Research Corporation | Method for void-free cobalt gap fill |
CN105789027A (zh) * | 2015-01-12 | 2016-07-20 | 朗姆研究公司 | 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻) |
US20160322213A1 (en) * | 2015-05-01 | 2016-11-03 | Applied Materials, Inc. | Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry |
US20170040164A1 (en) * | 2015-08-05 | 2017-02-09 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
Family Cites Families (153)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5416280B2 (zh) | 1971-12-30 | 1979-06-21 | ||
JPH061769B2 (ja) | 1983-08-10 | 1994-01-05 | 株式会社日立製作所 | アルミナ膜のパターニング方法 |
US4539061A (en) | 1983-09-07 | 1985-09-03 | Yeda Research And Development Co., Ltd. | Process for the production of built-up films by the stepwise adsorption of individual monolayers |
JPH03133128A (ja) | 1989-10-19 | 1991-06-06 | Res Dev Corp Of Japan | ディジタル・エッチング方法 |
US5298451A (en) | 1991-04-30 | 1994-03-29 | Texas Instruments Incorporated | Recessed and sidewall-sealed poly-buffered LOCOS isolation methods |
EP0559119B1 (en) | 1992-03-02 | 2000-10-11 | Matsushita Electric Industrial Co., Ltd. | Chemically adsorbed film and method of manufacturing the same |
US5282925A (en) | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
JPH06151382A (ja) | 1992-11-11 | 1994-05-31 | Toshiba Corp | ドライエッチング方法 |
DE4241045C1 (de) | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
US6083413A (en) | 1995-10-19 | 2000-07-04 | Massachusetts Institute Of Technology | Metals removal process |
US5766971A (en) | 1996-12-13 | 1998-06-16 | International Business Machines Corporation | Oxide strip that improves planarity |
EP0895282A3 (en) | 1997-07-30 | 2000-01-26 | Canon Kabushiki Kaisha | Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same |
KR20010034127A (ko) | 1998-01-13 | 2001-04-25 | 조셉 제이. 스위니 | 이방성 플라티늄 프로화일을 위한 에칭 방법 |
US6323132B1 (en) | 1998-01-13 | 2001-11-27 | Applied Materials, Inc. | Etching methods for anisotropic platinum profile |
US6177353B1 (en) | 1998-09-15 | 2001-01-23 | Infineon Technologies North America Corp. | Metallization etching techniques for reducing post-etch corrosion of metal lines |
US6423582B1 (en) | 1999-02-25 | 2002-07-23 | Micron Technology, Inc. | Use of DAR coating to modulate the efficiency of laser fuse blows |
JP3662472B2 (ja) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6527855B2 (en) | 2000-10-10 | 2003-03-04 | Rensselaer Polytechnic Institute | Atomic layer deposition of cobalt from cobalt metallorganic compounds |
US6448192B1 (en) | 2001-04-16 | 2002-09-10 | Motorola, Inc. | Method for forming a high dielectric constant material |
KR100878103B1 (ko) | 2001-05-04 | 2009-01-14 | 도쿄엘렉트론가부시키가이샤 | 순차적 증착 및 에칭에 의한 이온화된 pvd |
CN1332451C (zh) | 2001-09-12 | 2007-08-15 | 日本电气株式会社 | 半导体器件及其制造方法 |
AU2003223472A1 (en) | 2002-05-14 | 2003-12-02 | Tokyo Electron Limited | PLASMA ETCHING OF Cu-CONTAINING LAYERS |
US6884730B2 (en) | 2002-07-02 | 2005-04-26 | Headway Technologies, Inc. | Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
TWI276802B (en) | 2002-08-13 | 2007-03-21 | Lam Res Corp | Process endpoint detection method using broadband reflectometry |
JP4142941B2 (ja) | 2002-12-06 | 2008-09-03 | 株式会社東芝 | 半導体装置の製造方法 |
US6933239B2 (en) | 2003-01-13 | 2005-08-23 | Applied Materials, Inc. | Method for removing conductive residue |
US6858527B2 (en) | 2003-04-14 | 2005-02-22 | Intel Corporation | Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers |
US6841484B2 (en) | 2003-04-17 | 2005-01-11 | Chentsau Ying | Method of fabricating a magneto-resistive random access memory (MRAM) device |
JP2004332045A (ja) | 2003-05-07 | 2004-11-25 | Renesas Technology Corp | 多層膜材料のドライエッチング方法 |
US6846752B2 (en) | 2003-06-18 | 2005-01-25 | Intel Corporation | Methods and devices for the suppression of copper hillock formation |
US7053010B2 (en) | 2004-03-22 | 2006-05-30 | Micron Technology, Inc. | Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells |
US20050233555A1 (en) | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7115522B2 (en) | 2004-07-09 | 2006-10-03 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
CN100576474C (zh) | 2004-07-20 | 2009-12-30 | 应用材料股份有限公司 | 以钽前驱物taimata进行含钽材料的原子层沉积 |
US7368377B2 (en) | 2004-12-09 | 2008-05-06 | Interuniversitair Microelektronica Centrum (Imec) Vzw | Method for selective deposition of a thin self-assembled monolayer |
US7196955B2 (en) | 2005-01-12 | 2007-03-27 | Hewlett-Packard Development Company, L.P. | Hardmasks for providing thermally assisted switching of magnetic memory elements |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
JP4860219B2 (ja) | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
US20110178092A1 (en) | 2005-06-22 | 2011-07-21 | Akbar Ali | HIV-1 Protease Inhibitors |
US7214626B2 (en) | 2005-08-24 | 2007-05-08 | United Microelectronics Corp. | Etching process for decreasing mask defect |
US20070238301A1 (en) | 2006-03-28 | 2007-10-11 | Cabral Stephen H | Batch processing system and method for performing chemical oxide removal |
US7795148B2 (en) | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US7368393B2 (en) | 2006-04-20 | 2008-05-06 | International Business Machines Corporation | Chemical oxide removal of plasma damaged SiCOH low k dielectrics |
US8530361B2 (en) | 2006-05-23 | 2013-09-10 | Air Products And Chemicals, Inc. | Process for producing silicon and oxide films from organoaminosilane precursors |
US7875312B2 (en) | 2006-05-23 | 2011-01-25 | Air Products And Chemicals, Inc. | Process for producing silicon oxide films for organoaminosilane precursors |
EP2029790A1 (en) | 2006-06-02 | 2009-03-04 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing |
US8278176B2 (en) * | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
WO2008008319A2 (en) | 2006-07-10 | 2008-01-17 | President And Fellows Of Harvard College | Selective sealing of porous dielectric materials |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080242097A1 (en) | 2007-03-28 | 2008-10-02 | Tim Boescke | Selective deposition method |
US7858525B2 (en) | 2007-03-30 | 2010-12-28 | Intel Corporation | Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill |
KR100905278B1 (ko) | 2007-07-19 | 2009-06-29 | 주식회사 아이피에스 | 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 |
KR101330707B1 (ko) | 2007-07-19 | 2013-11-19 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
EP2257561B1 (en) | 2008-02-27 | 2017-11-08 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US7948044B2 (en) | 2008-04-09 | 2011-05-24 | Magic Technologies, Inc. | Low switching current MTJ element for ultra-high STT-RAM and a method for making the same |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP5419983B2 (ja) | 2009-07-31 | 2014-02-19 | 株式会社東芝 | 不揮発性記憶装置 |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US20110151142A1 (en) | 2009-12-22 | 2011-06-23 | Applied Materials, Inc. | Pecvd multi-step processing with continuous plasma |
JP2011216862A (ja) | 2010-03-16 | 2011-10-27 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
KR101626954B1 (ko) | 2010-03-29 | 2016-06-03 | 삼성전자주식회사 | 반도체 장치의 캐패시터 제조 방법 및 이에 따라 제조된 반도체 장치의 캐패시터 |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8357614B2 (en) | 2010-04-19 | 2013-01-22 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Ruthenium-containing precursors for CVD and ALD |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
JP5416280B2 (ja) | 2010-08-19 | 2014-02-12 | 株式会社アルバック | ドライエッチング方法及び半導体装置の製造方法 |
US8945305B2 (en) | 2010-08-31 | 2015-02-03 | Micron Technology, Inc. | Methods of selectively forming a material using parylene coating |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
CN105289536B (zh) | 2011-02-14 | 2019-07-09 | 迪奥内克斯公司 | 液相色谱柱 |
US8592005B2 (en) | 2011-04-26 | 2013-11-26 | Asm Japan K.K. | Atomic layer deposition for controlling vertical film growth |
US8546263B2 (en) | 2011-04-27 | 2013-10-01 | Applied Materials, Inc. | Method of patterning of magnetic tunnel junctions |
EP2702187B1 (en) | 2011-04-29 | 2018-11-14 | Applied Materials, Inc. | Devices and methods for passivating a flexible substrate in a coating process |
US8664126B2 (en) | 2011-06-10 | 2014-03-04 | Applied Materials, Inc. | Selective deposition of polymer films on bare silicon instead of oxide surface |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
US8921228B2 (en) | 2011-10-04 | 2014-12-30 | Imec | Method for selectively depositing noble metals on metal/metal nitride substrates |
TWI606136B (zh) | 2011-11-04 | 2017-11-21 | Asm國際股份有限公司 | 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
US20130129922A1 (en) | 2011-11-21 | 2013-05-23 | Qualcomm Mems Technologies, Inc. | Batch processing for electromechanical systems and equipment for same |
US8633115B2 (en) | 2011-11-30 | 2014-01-21 | Applied Materials, Inc. | Methods for atomic layer etching |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
TWI502645B (zh) | 2012-03-09 | 2015-10-01 | Air Prod & Chem | 低溫含矽膜 |
JP2013235912A (ja) | 2012-05-08 | 2013-11-21 | Tokyo Electron Ltd | 被処理基体をエッチングする方法、及びプラズマエッチング装置 |
US9337018B2 (en) | 2012-06-01 | 2016-05-10 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
US8802572B2 (en) | 2012-07-10 | 2014-08-12 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
WO2014015241A1 (en) | 2012-07-20 | 2014-01-23 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Organosilane precursors for ald/cvd silicon-containing film applications |
JP2014049466A (ja) | 2012-08-29 | 2014-03-17 | Tokyo Electron Ltd | エッチング処理方法及び基板処理装置 |
JP5918108B2 (ja) | 2012-11-16 | 2016-05-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9041125B2 (en) | 2013-03-11 | 2015-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin shape for fin field-effect transistors and method of forming |
US20140349469A1 (en) | 2013-05-22 | 2014-11-27 | Qualcomm Mems Technologies, Inc. | Processing for electromechanical systems and equipment for same |
US9219007B2 (en) | 2013-06-10 | 2015-12-22 | International Business Machines Corporation | Double self aligned via patterning |
JP6170754B2 (ja) | 2013-06-18 | 2017-07-26 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US9796739B2 (en) | 2013-06-26 | 2017-10-24 | Versum Materials Us, Llc | AZA-polysilane precursors and methods for depositing films comprising same |
BR112015029548B1 (pt) | 2013-06-27 | 2021-06-01 | Intel Corporation | Método de fabricação e substrato de circuito integrado |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US10453675B2 (en) | 2013-09-20 | 2019-10-22 | Versum Materials Us, Llc | Organoaminosilane precursors and methods for depositing films comprising same |
US20150111374A1 (en) * | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
JP2015109192A (ja) | 2013-12-04 | 2015-06-11 | 株式会社ジャパンディスプレイ | 有機エレクトロルミネッセンス表示装置 |
US9076651B1 (en) | 2013-12-20 | 2015-07-07 | Intermolecular, Inc. | Gate stacks and ohmic contacts for SiC devices |
US9214334B2 (en) * | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9425078B2 (en) | 2014-02-26 | 2016-08-23 | Lam Research Corporation | Inhibitor plasma mediated atomic layer deposition for seamless feature fill |
EP3134479A1 (en) | 2014-02-28 | 2017-03-01 | Functionalize, Inc. | Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane |
US9257638B2 (en) | 2014-03-27 | 2016-02-09 | Lam Research Corporation | Method to etch non-volatile metal materials |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9331094B2 (en) | 2014-04-30 | 2016-05-03 | Sandisk Technologies Inc. | Method of selective filling of memory openings |
US9881788B2 (en) | 2014-05-22 | 2018-01-30 | Lam Research Corporation | Back side deposition apparatus and applications |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
TW201610204A (zh) | 2014-07-26 | 2016-03-16 | 應用材料股份有限公司 | 矽碳氮氧化物的低溫分子層沉積 |
US9627608B2 (en) * | 2014-09-11 | 2017-04-18 | Lam Research Corporation | Dielectric repair for emerging memory devices |
CN106716644B (zh) | 2014-09-26 | 2022-03-01 | 英特尔公司 | 用于半导体器件的选择性栅极间隔体 |
KR102079501B1 (ko) | 2014-10-24 | 2020-02-20 | 버슘머트리얼즈 유에스, 엘엘씨 | 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 |
JP6317232B2 (ja) | 2014-10-29 | 2018-04-25 | 東京エレクトロン株式会社 | 選択成長方法および基板処理装置 |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US10170324B2 (en) | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
US10381227B2 (en) | 2014-12-18 | 2019-08-13 | The Regents Of The University Of Colorado, A Body Corporate | Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions |
US10082187B2 (en) | 2014-12-22 | 2018-09-25 | Ford Global Technologies, Llc | Mechanically roughened brake rotors |
KR20170125876A (ko) | 2015-02-26 | 2017-11-15 | 어플라이드 머티어리얼스, 인코포레이티드 | 자기-조립 단분자층들을 사용하는 선택적인 유전체 증착을 위한 방법들 |
US11001599B2 (en) | 2015-03-23 | 2021-05-11 | Gelest Technologies, Inc. | N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
CN114121605A (zh) | 2015-06-26 | 2022-03-01 | 应用材料公司 | 氧化硅膜的选择性沉积 |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US20170029948A1 (en) | 2015-07-28 | 2017-02-02 | Asm Ip Holding B.V. | Methods and apparatuses for temperature-indexed thin film deposition |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9520821B1 (en) | 2015-08-19 | 2016-12-13 | Nidec Motor Corporation | System and method for optimizing flux regulation in electric motors |
KR20170050056A (ko) | 2015-10-29 | 2017-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9859128B2 (en) | 2015-11-20 | 2018-01-02 | Applied Materials, Inc. | Self-aligned shielding of silicon oxide |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10176984B2 (en) | 2017-02-14 | 2019-01-08 | Lam Research Corporation | Selective deposition of silicon oxide |
US10242866B2 (en) | 2017-03-08 | 2019-03-26 | Lam Research Corporation | Selective deposition of silicon nitride on silicon oxide using catalytic control |
US10043656B1 (en) | 2017-03-10 | 2018-08-07 | Lam Research Corporation | Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide |
US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10460930B2 (en) | 2017-11-22 | 2019-10-29 | Lam Research Corporation | Selective growth of SiO2 on dielectric surfaces in the presence of copper |
-
2017
- 2017-04-28 US US15/581,951 patent/US10559461B2/en active Active
-
2018
- 2018-01-23 KR KR1020180008079A patent/KR102608585B1/ko active IP Right Grant
- 2018-02-01 SG SG10201800863VA patent/SG10201800863VA/en unknown
- 2018-02-23 CN CN201810154686.8A patent/CN108735675B/zh active Active
- 2018-04-10 JP JP2018075109A patent/JP2018182322A/ja active Pending
- 2018-04-16 TW TW107112841A patent/TW201903833A/zh unknown
-
2019
- 2019-12-13 US US16/713,557 patent/US10998187B2/en active Active
-
2023
- 2023-11-28 KR KR1020230167513A patent/KR20230166993A/ko active Application Filing
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080268635A1 (en) * | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
US20160056074A1 (en) * | 2014-08-21 | 2016-02-25 | Lam Research Corporation | Method for void-free cobalt gap fill |
CN105789027A (zh) * | 2015-01-12 | 2016-07-20 | 朗姆研究公司 | 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻) |
US20160322213A1 (en) * | 2015-05-01 | 2016-11-03 | Applied Materials, Inc. | Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry |
US20170040164A1 (en) * | 2015-08-05 | 2017-02-09 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
Also Published As
Publication number | Publication date |
---|---|
US20200118809A1 (en) | 2020-04-16 |
SG10201800863VA (en) | 2018-11-29 |
KR20230166993A (ko) | 2023-12-07 |
TW201903833A (zh) | 2019-01-16 |
CN108735675B (zh) | 2024-03-15 |
US10998187B2 (en) | 2021-05-04 |
KR102608585B1 (ko) | 2023-11-30 |
JP2018182322A (ja) | 2018-11-15 |
US10559461B2 (en) | 2020-02-11 |
KR20180117525A (ko) | 2018-10-29 |
US20180308680A1 (en) | 2018-10-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN108735675A (zh) | 氧化硅的选择性沉积 | |
US10903071B2 (en) | Selective deposition of silicon oxide | |
US10490413B2 (en) | Selective growth of silicon nitride | |
KR102637938B1 (ko) | 칼코게나이드 재료를 캡슐화하기 위한 방법 | |
KR102453443B1 (ko) | 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법 | |
TWI682054B (zh) | 使用原子層沉積鈦膜以使cmos用之基於氧化鈦/鈦的金屬絕緣半導體接觸方案的接觸電阻最小化之氧化鈦化學計量的調節方法 | |
US20180061650A1 (en) | High dry etch rate materials for semiconductor patterning applications | |
CN105390370B (zh) | 使用四碘化钛前体低温沉积纯钛薄膜的方法和装置 | |
CN110402477A (zh) | 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长 | |
WO2018200288A1 (en) | Euv photopatterning and selective deposition for negative pattern mask | |
US11823909B2 (en) | Selective processing with etch residue-based inhibitors | |
US12131909B2 (en) | Selective processing with etch residue-based inhibitors |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |