JP7190814B2 - エアギャップの形成方法 - Google Patents

エアギャップの形成方法 Download PDF

Info

Publication number
JP7190814B2
JP7190814B2 JP2018021500A JP2018021500A JP7190814B2 JP 7190814 B2 JP7190814 B2 JP 7190814B2 JP 2018021500 A JP2018021500 A JP 2018021500A JP 2018021500 A JP2018021500 A JP 2018021500A JP 7190814 B2 JP7190814 B2 JP 7190814B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor substrate
sno2
etching
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018021500A
Other languages
English (en)
Other versions
JP2018142698A (ja
JP2018142698A5 (ja
Inventor
クリーンプット パトリック・エー.・バン
セシャサイー・バラダラジャン
シュラベンディジク バート・ジェイ.・バン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018142698A publication Critical patent/JP2018142698A/ja
Publication of JP2018142698A5 publication Critical patent/JP2018142698A5/ja
Priority to JP2022194568A priority Critical patent/JP7483839B2/ja
Application granted granted Critical
Publication of JP7190814B2 publication Critical patent/JP7190814B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Separation By Low-Temperature Treatments (AREA)
  • Optical Communication System (AREA)

Description

本発明は、半導体デバイスの製造方法に関する。特に、本発明の実施形態は、半導体基板処理時のエアギャップの形成方法に関する。
半導体デバイスの製造では、基板上に材料のパターンを形成するために堆積およびエッチングの技術が用いられる。パターニングでは、ある材料を高いエッチング選択比の別の材料の存在下でエッチングすることが必要なことが多い。基板上のパターン化フィーチャの大きさが小さくなればなるほど、エッチング選択比要件はより厳しくなる。さらに、HFを用いたSiO2のエッチングなどのウェットエッチング方法は、凹状フィーチャへのエッチング液の浸透における問題のため、高アスペクト比の凹状フィーチャを有する基板にはあまり望ましくない。
高選択比エッチング方法は、ダイナミック・ランダム・アクセス・メモリ(DRAM)の形成を含む様々なアプリケーションにおけるパターニング、フィン型電界効果トランジスタ(FinFET)の製造におけるパターニング、および、バック・エンド・オブ・ライン(BEOL)処理におけるパターニングに必要である。
SiO2やSiNなどの一般的に使用される誘電体の存在下において、高エッチング選択比で選択的にエッチングされうる材料、および、関連するエッチング方法が特に望ましい。本明細書では、SnO2は、SiO2、SiN、および、高選択比ドライエッチング化学物質を用いる様々な他の材料に対して選択的にエッチングされうる材料として提供される。犠牲スペーサ材としてSnO2を用いる半導体デバイス製造において(例えば、FinFET製造時に)エアギャップを形成する方法も提供される。
一態様によれば、半導体基板を処理する方法が提供される。この方法は、露出したSnO2層(例えば、原子層堆積によって堆積されたSnO2層)を有する半導体基板を提供することと、約100℃未満の温度でSnO2層をエッチングすることとを含み、エッチングは、少なくとも容量で約50%のH2を含むプロセスガスにおいて形成されたプラズマに半導体基板を曝露することを含む。いくつかの実施形態では、提供された半導体基板は、さらに、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された第2の材料を含み、SnO2の水素プラズマエッチングは、第2の材料に対して少なくとも約80など少なくとも約10のエッチング選択比で実施される。第2の材料は、SnO2のエッチング開始前に基板上で露出されてよい、または、いくつかの実施形態では、第2の材料は、SnO2のエッチング中に露出されてよい。いくつかの実施形態では、第2の材料はSiO2であり、エッチングは、SiO2に対して少なくとも約10のエッチング選択比でSnO2を除去する。
SnO2のエッチングにおけるプロセスガスの組成は、変化してよく、H2に加えて他のガスを含んでよいが、いくつかの実施形態では、プロセスガスは、H2を主成分とする(例えば、100%がH2)。いくつかの実施形態では、プロセスガスは、少なくとも約50%のH2を含み、さらに、ヘリウムなどの不活性ガスを含む。いくつかの実施形態では、プロセスガスは、H2および不活性ガスを主成分とする。いくつかの実施形態では、プロセスガス内のH2の濃度は、少なくとも約80%である。必要に応じて、プロセスガスは、炭化水素および/またはCl2をさらに含んでよい。一例では、プロセスガスは、H2(50%以上)、ヘリウム、および炭化水素を含む。プロセスガスにおいてプラズマは、高周波および/または低周波のプラズマ生成を用いて形成されうる。特に、基板における外部バイアスの使用は任意である。いくつかの実施形態では、エッチングは、基板に外部バイアスを設けることなく実行される。いくつかの実施形態では、プラズマは、約0.0018W/cm2から約0.36W/cm2の間の電力密度を用いて生成される。エッチング時のプロセスチャンバ内の圧力は、いくつかの実施形態では、約1mTorrから約175mTorrの間である。
別の態様では、SnO2層をエッチングするための装置が提供される。この装置は、エッチング時に半導体基板を保持するように構成された基板ホルダを有するプロセスチャンバと、プロセスガスにおいてプラズマを生成するように構成されたプラズマ生成器と、制御装置とを備える。制御装置は、本明細書に記載のあらゆる方法を実施するためのプログラム命令を含む。一実施形態では、制御装置は、約100℃以下の温度で半導体基板上のSnO2層のエッチングを行わせるためのプログラム命令を含み、エッチングを行わせることには、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに半導体基板を曝露させることが含まれる。
別の態様では、エッチング装置を制御するためのプログラム命令を含む非一時的コンピュータ機械可読媒体が提供される。プログラム命令は、約100℃未満の温度で半導体基板上のSnO2層のエッチングを行わせるためのコードを含み、エッチングを行わせることは、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに半導体基板を曝露させることを含む。
別の態様では、半導体基板上にエアギャップを形成するための方法が提供される。この方法は、(a)第1の材料の露出層、第2の材料の露出層、および、第1の材料の層と第2の材料の層との間に位置するSnO2の露出層を有する半導体基板を提供することと、(b)水素プラズマエッチング化学物質を用いて露出したSnO2を第1および第2の材料の両方に対して選択的にエッチングすることで、第1の材料と第2の材料との間に凹状フィーチャを形成することと、(c)凹状フィーチャを完全に充填することなく凹状フィーチャの上に第3の材料を堆積させることで、第1の材料の層と第2の材料の層との間にエアギャップを形成することと、を含む。いくつかの実施形態では、第1の材料は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択され、第2の材料は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択される。いくつかの実施形態では、第1の材料および第2の材料は同じ材料であり、他の実施形態では、第1の材料および第2の材料は異なる材料である。第3の材料は、いくつかの実施形態では、SiO2である。
いくつかの実施形態では、SnO2層の水素プラズマエッチングは、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに半導体基板を曝露することを含む。いくつかの実施形態では、エッチングは、少なくとも約100℃未満の温度で実行される。記載の方法は、幅狭の凹状フィーチャにおいてエアギャップを形成するのに特に有効である。一実施形態では、SnO2層の幅は、約20Å~約100Åの間である。
一実施形態では、第1の材料の層と第2の材料の層との間に存在するSnO2層を有する基板は、次の一連の工程を用いて形成される。このプロセスは、半導体基板上にゲート(例えば、酸化ハフニウムなどの高k酸化物からなる突出フィーチャ)を形成することと、第1の材料(例えば、SiN)がゲートの側壁および上面の両方を覆うように、半導体基板の上に第1の材料の層を共形に形成することと、SnO2がゲートの側壁および上面の両方の上の第1の材料を覆うように、第1の材料の層の上にSnO2層を(例えば、約20Å~約100Åの間の厚さに)共形に形成することと、第2の材料(例えば、SiO2)がゲートの側壁および上面の両方の上のSnO2を覆うように、SnO2層の上に第2の材料の層を共形に形成することと、によって開始する。このプロセスは、次に、基板の水平面から第2の材料を除去することで、第1の材料の露出層と第2の材料の露出層との間に露出SnO2層を有する構造を形成する。
別の態様では、半導体基板上にエアギャップを形成するためのシステムが提供される。このシステムは、1つ以上の堆積チャンバ、1つ以上のエッチングチャンバ、および制御装置を備える。制御装置は、本明細書に記載のあらゆるエアギャップ形成方法を実施するためのプログラム命令を含む。例えば、制御装置は、(i)第1の材料の露出層、第2の材料の露出層、および、第1の材料の層と第2の材料の層との間に位置するSnO2の露出層を有する半導体基板上に、水素プラズマエッチング化学物質を用いて露出したSnO2を第1の材料および第2の材料の両方に対して選択的にエッチングすることで、第1の材料と第2の材料との間に凹状フィーチャを形成するステップと、(ii)凹状フィーチャを完全に充填することなく凹状フィーチャの上に第3の材料を堆積させることで、第1の材料の層と第2の材料の層との間にエアギャップを形成するステップと、を行わせるための命令を含んでよい。
別の態様では、このシステムは、本明細書に記載の装置およびシステムのいずれか、ならびに、ステッパを備える。
別の態様では、システムを制御するためのプログラム命令を含む非一時的コンピュータ機械可読媒体が提供される。プログラム命令は、(i)第1の材料の露出層、第2の材料の露出層、および、第1の材料の層と第2の材料の層との間に位置するSnO2の露出層を有する半導体基板上に、水素プラズマエッチング化学物質を用いて露出したSnO2を第1の材料および第2の材料の両方に対して選択的にエッチングすることで、第1の材料と第2の材料との間に凹状フィーチャを形成するためのコードと、(ii)凹状フィーチャを完全に充填することなく凹状フィーチャの上に第3の材料を堆積させることで、第1の材料の層と第2の材料の層との間にエアギャップを形成するためのコードと、を含む。
別の態様では、SnO2のダミーゲートの堆積を含む、半導体基板を処理するための方法が提供される。この方法は、(a)半導体基板上にSnO2のダミーゲートを形成することと、(b)SnO2のダミーゲートの存在下で半導体基板を処理することと、(c)H2を含むプロセスガスにおいて形成されたプラズマを用いてSnO2のダミーゲートをエッチングして、ダミーゲートに代えて凹状フィーチャを形成することと、(d)形成された凹状フィーチャに高k誘電材料を堆積させて、ダミーゲートに代えてゲートを形成することと、を含む。別の態様では、半導体基板を処理するためのシステムが提供される。このシステムは、1つ以上の堆積プロセスチャンバ、1つ以上のエッチングプロセスチャンバ、および制御装置を備え、制御装置は、(i)半導体基板上にSnO2のダミーゲートを形成する工程と、(ii)SnO2のダミーゲートの存在下で半導体基板を処理する工程と、(iii)H2を含むプロセスガスにおいて形成されたプラズマを用いてSnO2のダミーゲートをエッチングして、ダミーゲートに代えて凹状フィーチャを形成する工程と、(iv)形成された凹状フィーチャに高k誘電材料を堆積させて、ダミーゲートに代えてゲートを形成する工程と、を行わせるためのプログラム命令を含む。別の態様では、システムを制御するためのプログラム命令を含む非一時的コンピュータ可読媒体が提供される。プログラム命令は、(i)半導体基板上にSnO2のダミーゲートを形成する工程と、(ii)SnO2のダミーゲートの存在下で半導体基板を処理する工程と、(iii)H2を含むプロセスガスにおいて形成されたプラズマを用いてSnO2のダミーゲートをエッチングして、ダミーゲートに代えて凹状フィーチャを形成する工程と、(iv)形成された凹状フィーチャに高k誘電材料を堆積させて、ダミーゲートに代えてゲートを形成する工程と、を行わせるためのコードを含む。
いくつかの実施形態では、記載の方法は、フォトリソグラフィ・パターニング・シーケンスと統合され、さらに、半導体基板にフォトレジストを塗布することと、フォトレジストを露光することと、フォトレジストをパターニングしてパターンを基板に転写することと、フォトレジストを基板から選択的に除去することとを含む。
本明細書に記載の主題の実施形態のこれらおよび他の態様は、付随の図面、および、以下の発明を実施するための形態で説明される。
本明細書に記載の実施形態による処理方法のプロセスフロー図。
本明細書に記載の実施形態による処理方法のプロセスフロー図。
本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。 本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。 本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。 本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。 本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。 本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。 本明細書に記載の実施形態によるエアギャップ形成を伴う処理が施される半導体基板の略断面図。
本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。 本明細書に記載の実施形態によるFinFETデバイスの製造時に処理が施される半導体基板の概略図。
本明細書に記載の実施形態による、水素プラズマエッチング化学物質を用いてSnO2をエッチングするのに適した装置の概略図。
本明細書に記載の実施形態によるマルチステーション型処理システムの概略図。
半導体デバイス製造における酸化スズ(IV)(SnO2)のエッチング方法が提供される。いくつかの実施形態では、提供の方法は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNのうちの1つ以上に対して高選択比で酸化スズをエッチングするのに用いられる。いくつかの実施形態では、エッチング選択比は、30より大きいなど10より大きく、例えば、50より大きい、または80より大きい。エッチング選択比は、選択された条件における他の材料のエッチング速度に対するSnO2のエッチング速度の比率を表す。いくつかの例では、SiO2に対してSnO2をエッチングするために、100のエッチング選択比が達成された。これらのエッチング選択比は、H2を含むプロセスガスにおいて形成されたプラズマに半導体基板を接触させることを含むエッチング方法のために達成される。提供の方法は、SnO2の水素プラズマへの曝露によって固体のSnO2を気体のSnH4に変換することを含む。気体のSnH4生成物は、その後、パージおよび/または排気によってプロセスチャンバから簡単に除去されうる。いくつかの実施形態では、他の材料(例えば、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、またはSiCN)は、SnO2のエッチング開始時に半導体基板上で露出される。他の実施形態では、他の材料は、SnO2のエッチング開始時には露出されず、エッチングの過程で露出される。
SnO2は、例えば、ALDまたはPECVDによって堆積され、炭素および水素などの少量の他の材料(通常、10原子%未満)を含んでよい。1:2のスズ:酸素の化学量論比からの小さなズレは、酸化スズで可能であり、SnO2構造物の範囲内であることも理解される。例えば、O対Snの原子比は、SnO2のいくつかの例では約2.0から約2.3の間である。O対Sn比が約1.5から約2.5の間の酸化スズは、本明細書においてはSnO2材料の範囲内である。
SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCN材料は、ドープまたはアンドープされてよく、必要に応じて水素を含んでよい。ドーパントは、存在するときは、通常、10%の原子濃度を超えない(水素を除く)。これらの材料は、CVD、PECVD、ALDなどの様々な方法を用いて堆積されうる。シラン、テトラアルキルシラン、トリアルキルシラン、TEOSなどを含む様々なシリコン含有前駆体が、これらの材料の堆積に用いられうる。例えば、SiO2は、TEOSまたはシランをシリコン含有前駆体として用いて堆積されてよい。
本明細書で用いられる「半導体基板」との用語は、その構造内のいずれかの半導体材料を含む半導体デバイス製造のあらゆる段階における基板を意味する。半導体基板における半導体材料は、露出される必要はないことが理解される。半導体基板の例は、半導体材料を覆う複数層の他の材料(例えば、誘電材料)を有する半導体ウエハである。
提供の方法は、様々な幅およびアスペクト比のSnO2層をエッチングするのに用いられうる。これらの方法は、幅狭層(例えば、25Å~75Åなど20Å~100Åの幅を有するSnO2層)をエッチングするのに特に有効であり、SnO2をエッチングして少なくとも約5:1のアスペクト比(例えば、約10:1から100:1の間のアスペクト比)を有する凹状フィーチャを形成するなど、比較的高アスペクト比の凹状フィーチャを形成するのに特に有効である。提供の方法はこれらの使用に限定されないが、従来の方法および材料(例えば、SiNスペーサのウェットHFエッチング)はこれらの使用にあまり適していないため、本明細書に記載の水素プラズマエッチング方法を用いた幅狭層のエッチングおよび/または高アスペクト比の凹状フィーチャの形成は特に有効である。
本明細書に記載の実施形態によるエッチング方法は、図1に示すプロセスフロー図に表される。動作1101では、露出したSnO2層を有する半導体基板が提供される。基板は、プロセスガスにおいてプラズマを生成するように構成されるエッチング装置のプロセスチャンバ内に設置される。次に、動作1103では、H2を含むプロセスガスにおいてプラズマが生成される。動作1105では、基板は生成された水素プラズマと接触し、SnO2層が基板上のSiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCN材料のいずれかに対して選択的にエッチングされる。選択的なエッチングは、上述の材料のいずれかに対して、1より大きい、より好ましくは、50より大きいなど10より大きいエッチング選択比でSnO2をエッチングすることを含む。
いくつかの実施形態では、露出したSnO2層に加えて、動作1101で提供された半導体基板は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNのうちの1つ以上を含む第2の材料の露出層をさらに備える。他の実施形態では、第2の材料は、SnO2のエッチング開始時には露出されておらず、SnO2がしばらくエッチングされた後に露出される。
1101で提供された基板は、SnO2層および第2の材料の層(例えば、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNのあらゆる組み合わせ)の堆積後に、また必要に応じてそれらのパターニング後に得られる。SnO2層は、CVD(PECVDを含む)、ALD(PEALDを含む)、スパッタリングなどのあらゆる適した方法によって堆積される。いくつかの実施形態では、SnO2膜が基板上のあらゆる突起および凹状フィーチャの表面を含む基板表面に沿うように、SnO2膜を共形に堆積させることが好ましい。いくつかの実施形態では、SnO2層は、約20Åから100Åの間の厚さに共形に堆積される。共形のSnO2膜に適した堆積方法の1つは、ALDである。熱ALDまたはプラズマ強化ALDが用いられうる。通常の熱ALD法では、基板は、ALDプロセスチャンバに提供されて、SnO2を形成するために基板表面で反応できるスズ含有前駆体および酸素含有反応物に連続して曝露される。ALDプロセスチャンバは、通常、基板がスズ含有前駆体に曝露された後であって、プロセスチャンバにおける反応を防ぐために酸素含有反応物がプロセスチャンバに導入される前に、不活性ガスによってパージされる。さらに、ALDプロセスチャンバは、通常、基板が酸素含有反応物によって処理された後に、不活性ガスによってパージされる。連続した曝露は、例えば、約10サイクルから100サイクルの間、数回のサイクルで繰り返され、所望の厚さのSnO層が堆積されるまで実施されうる。適したスズ含有前駆体の例は、ハロゲン化スズ含有前駆体(SnCl4、SnBr4など)、および、アルキル置換スズアミドなどを含む有機スズ化合物などの非ハロゲン化スズ含有前駆体を含む。ALDに適したアルキル置換スズアミドの具体例は、テトラキスジメチルアミノスズ、テトラキスエチルメチルアミノスズ、N2,N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)、および、(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタノリジン-2-イリデンである。酸素含有反応物は、制限なしに、酸素、オゾン、水、過酸化水素、およびNOを含む。酸素含有反応物の混合物も用いられうる。堆積条件は、ALD反応物の選択に応じて変化し、反応性がより高い前駆体は、一般に、反応性がより低い前駆体より低温で反応するだろう。プロセスは、通常、約20℃から500℃の間の温度で、かつ準大気圧で実行されるだろう。凝縮を回避するため、反応物がプロセスチャンバ内に気体状で残るように温度および圧力が選択される。各反応物は、単体で、または、アルゴン、ヘリウム、もしくは窒素などのキャリアガスと混合で、気体状でプロセスチャンバに提供される。これらの混合物の流量は、プロセスチャンバの大きさに依存し、いくつかの実施形態では、約10sccmから約10,000sccmの間である。
本明細書に記載の共形SnO2層の堆積に適した熱ALDプロセス条件の具体例は、「Tin Oxide with Controlled Morphology and Crystallinity by Atomic Layer Deposition onto Graphene Nanosheets for Enhanced Lithium Storage」(Advanced Functional Materials,2012,22,8,1647~1654)と題した、Li他による記事に記載されており、その全てが参照として本明細書に援用される。プロセスは、ALD真空チャンバにおいて、200℃~400℃の温度で、基板をSnCl4(スズ含有前駆体)および脱イオン水(酸素含有反応物)に連続してかつ交互に曝露することを含む。ALDサイクルの具体例では、SnCl4蒸気とN2キャリアガスとの混合物は、ALDプロセスチャンバに0.5秒間導入され、その後、基板に3秒間曝される。次に、ALDプロセスチャンバは、N2によって10秒間パージされてプロセスチャンバ内からSnCl4を除去し、H2O蒸気とN2キャリアガスとの混合物は、プロセスチャンバに1秒間流されて、基板に3秒間曝される。次に、ALDプロセスチャンバはN2によってパージされ、このサイクルが繰り返される。ALDプロセスは、準大気圧(例えば、0.4Torr)で、かつ200℃~400℃の温度で実施される。
本明細書に記載の方法におけるSnO膜の堆積に適した熱ALDプロセス条件の別の例は、「In situ Examination of Tin Oxide Atomic Layer Deposition using Quartz Crystal Microbalance and Fourier Transform Infrared Techniques」(J.Vac.Sci.Technol.A 23,581(2005))と題した、Du他による記事に記載されており、その全てが参照として本明細書に援用される。このプロセスでは、基板は、ALDプロセスチャンバにおいて、約150℃から約430℃の間の温度で、SnCl4およびH22に連続して曝露される。
ALDにおけるハロゲン化スズ前駆体の使用は多くの実施形態で適しているが、いくつかの実施形態では、SnCl4などのハロゲン化前駆体の使用に伴って生じる可能性がある侵食の問題を回避するために、非ハロゲン化有機前駆体を用いることがより好ましい。適した非ハロゲン化有機前駆体の例には、テトラキス(ジメチルアミノ)スズなどのアルキルアミノスズ(アルキル化スズアミド)前駆体が含まれる。この前駆体を用いる適した熱ALD堆積法の例は、「Atomic Layer Deposition of Tin Oxide Films using Tetrakis(dimethylamino)tin」(J.Vac.Sci.Technol.A 26,244(2008))と題した、Elam他による記事に記載されており、その全てが参照として本明細書に援用される。この方法では、基板は、ALDチャンバにおいて約50℃から約300℃の間の温度でテトラキス(ジメチルアミノ)スズおよびH22に連続して曝露される。好都合なことに、この前駆体の使用は、100℃以下の低温でのSnO2膜の堆積を可能にする。例えば、SnO2膜は、反応速度を高めるためにプラズマを用いることなく50℃で堆積されうる。テトラキス(ジメチルアミノ)スズおよびH22を用いるSnOの熱ALDの別の例は、「Atomic Layer Deposition of Indium Tin Oxide Thin Films Using Nonhalogenated Precursors」(J.Phys.Chem. C 2008,112,1938~1945)と題した、Elam他による記事に記載されており、参照として本明細書に援用される。
反応性有機前駆体の使用を伴う低温熱ALDプロセスの別の例は、「Low temperature Atomic Layer Deposition of Tin Oxide」(Chem. Mater.,2010,22(7)4964~4973)と題した、Heo他による記事に記載されており、その全てが参照として本明細書に援用される。この(本明細書に記載のSnO2膜の堆積に適した)堆積プロセスでは、基板は、ALD真空プロセスチャンバにおいて、N2,N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)および50%のH22に連続して曝露される。これらの反応物は気化され、各々はN2キャリアガスと混合されたプロセスチャンバに提供される。チャンバは、基板の反応物への各曝露後にN2によってパージされる。堆積は、約50℃から約150℃の間の温度で実行されうる。
過酸化水素は、一般に、ALDプロセスにおいてSnO2の形成のために酸素含有反応物としてうまく機能するが、時に、H22分解のせいでSnO2膜の成長に対して不十分な制御を提供する可能性がある。いくつかの実施形態では、NOなどのより安定した酸素含有前駆体が用いられる。NOを酸素含有反応物として使用する適切なプロセス条件の例は、「Atomic Layer Deposition of Tin Oxide with Nitric Oxide as an Oxidant Gas」(J.mater.Chem.,2012,22,4599)と題した、Heo他による記事に記載されており、参照として本明細書に援用される。堆積は、基板を約130℃~約250℃の温度で、環状Sn(II)アミド(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタノリジン-2-イリデンおよびNOに連続して曝露することを含む。
いくつかの実施形態では、SnO2膜は、PEALDによって堆積される。熱ALD向けに上述されたのと同じ種類のスズ含有前駆体および酸素含有反応物が用いられうる。PEALDでは、ALD装置は、プロセスチャンバにおいてプラズマを生成して基板をプラズマで処理するためのシステムを備える。通常のPEALDプロセスシーケンスでは、基板は、PEALDプロセスチャンバに提供されて、基板の表面上に吸着するスズ含有前駆体に曝露される。プロセスチャンバは、不活性ガス(例えば、アルゴンまたはヘリウム)によってパージされて前駆体をプロセスチャンバから除去し、基板は、プロセスチャンバに導入される酸素含有反応物に曝露される。酸素含有反応物の導入と同時に、または遅延後に、プラズマがプロセスチャンバで形成される。プラズマは、基板の表面上でスズ含有前駆体と酸素含有反応物との間の反応を促し、SnO2の形成をもたらす。次に、プロセスチャンバは不活性ガスによってパージされ、スズ前駆体の投与、パージ、酸素含有反応物の投与、プラズマ処理、および第2のパージを含むサイクルが、所望の厚さのSnO2膜を形成するのに必要なだけ繰り返される。
SnO2膜のPEALDによる形成に適したプロセス条件の例は、「The Fabrication of Tin Oxide Films by Atomic Layer Deposition using Tetrakis(ethylmethylamino)tin Precursor」(Transactions on Electrical and Electronic Materials,2009,10,5,173-176)と題した、Seop他による記事に記載されており、参照として本明細書に援用される。基板は、PEALDプロセスチャンバ内に提供されて、プラズマがない状態でテトラキス(エチルメチルアミノ)スズに4秒間曝露される。次に、スズ含有前駆体は、アルゴンをプロセスチャンバに20秒間流すことによってプロセスチャンバからパージされる。その後、O2が2秒間注入され、100Wの無線周波数(RF)電力がさらに2秒間注入される。アルゴンのパージがこれに続いて、PEALDの1サイクルが完了する。この例では、プロセスは、50℃から200℃の温度範囲で、かつ0.8Torrの圧力で実行される。
ALD(熱およびプラズマ強化の両方)は、SnO2膜堆積のための好ましい方法の1つであるが、CVD、PECVD、スパッタリングなどの他のSnO2堆積法も用いられうることが理解される。
第2の材料(SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNのいずれか)は、PECVD、CVD、ALD、およびPEALDを含む様々な方法によって堆積されうる。いくつかの実施形態では、第2の材料は、PECVDによって堆積される。この方法では、第2の材料が基板上に堆積するように制御された条件下で、プラズマは、シリコン含有前駆体、および、第2の材料の1つ以上の要素を含む反応物を含むプロセスガスにおいて形成される。例えば、SiO2は、シリコン含有前駆体および酸素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよく、SiCは、シリコン含有前駆体および炭素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよく、SiNは、シリコン含有前駆体および窒素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよく、SiOCは、シリコン含有前駆体、酸素含有反応物、および炭素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよく、SiNOは、シリコン含有前駆体、酸素含有反応物、および窒素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよく、SiCNOは、シリコン含有前駆体、酸素含有反応物、炭素含有反応物、および窒素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよく、SiCNは、シリコン含有前駆体、炭素含有反応物、および窒素含有反応物を含むプロセスガスにおいてプラズマを形成することによって堆積されてよい。シリコン含有前駆体が第2の材料のいずれか必要な要素をさらに含むこれらの場合は、シリコン含有前駆体および反応物は同じであってよい。例えば、TEOSは、SiO2堆積時に、シリコン含有前駆体および酸素含有反応物の両方として機能しうる。シリコン含有前駆体の例には、シラン、ジシラン、テトラアルキルシラン、トリアルキルシラン、シロキサン、TEOSなどが含まれる。酸素含有反応物の例には、CO2、N2O、O2、O3、H2Oが含まれる。窒素含有反応物の例には、N2およびNH3が含まれる。炭素含有反応物の例には、メタン、エタン、プロパンなどの炭化水素が含まれる。これらの材料の堆積に用いられるプロセスガスには、He、Ar、Neなどのキャリアガスが含まれてもよい。
水素プラズマを用いるSnO2のエッチング方法は、広範囲のプロセス条件下で様々な装置において実施されうる。いくつかの実施形態では、この方法は、酸化スズの露出層を有する半導体基板をエッチングチャンバに提供することと、H2、および、ヘリウムまたは別の不活性ガスなどの任意のキャリアガスを含むプロセスガスにおいて形成されたプラズマと基板を接触させることとを含む。「エッチングチャンバ」または「エッチング装置」との用語は、エッチング用に構成されるチャンバおよび装置を意味する。いくつかの実施形態では、「エッチングチャンバ」または「エッチング装置」は、エッチング動作専用に構成される。他の実施形態では、「エッチングチャンバ」または「エッチング装置」は、エッチングに加えて、堆積などの他の動作を実施するように構成されてよい。例えば、いくつかの実施形態では、エッチングチャンバは、ALD堆積に用いられてもよい。
いくつかの実施形態では、水素プラズマエッチングで用いられるプラズマは、半導体基板を収容する同一のプロセスチャンバで生成される。他の実施形態では、プラズマは、遠隔で生成されて、プロセスチャンバの1つ以上の流入口を通じて基板を収容するプロセスチャンバに導入される。
エッチングは、SnO2を揮発性SnH4に変換するなどのために制御される。プロセスガス中のH2は、少なくとも約80%の含有量など少なくとも約50%の含有量であることが好ましい(最大100%でありうる)。いくつかの実施形態では、プロセスガスは、CH4などの炭化水素をさらに含んでよい。いくつかの実施形態では、プロセスガスは、Cl2をさらに含んでよい。例えば、プロセスガスは、H2および不活性ガス(例えば、He)を主成分としてよい、または、プロセスガスは、H2、不活性ガス、および炭化水素(例えば、CH4)を主成分としてよい。エッチングは、基板近くで測定して約100℃未満の温度で実施される。好都合なことに、エッチング反応は、排気および/またはパージによってエッチングプロセスチャンバから簡単に除去されうるSnH4などの揮発性物質のみを生成する。より高温では、形成されたSNH4の分解や、プロセスチャンバおよび基板を汚染する粒子の形成を引き起こしうるため、エッチングプロセスの温度は、約100℃未満になるように選択されることが好ましい。プロセスガスの組成およびプロセス条件は、エッチング時の粒子形成を低減するまたはなくすように選択される。意義深いことに、エッチング反応は、いかなる重要なスパッタリング成分も必要とせず、基板において外部バイアスがない状態で、および、重イオン(例えば、アルゴンイオン)がない状態で実施されうる。スパッタリング成分の低減は、基板上の第2の材料に対するエッチング選択比を向上させるのに有効である可能性がある。そのため、いくつかの実施形態では、エッチングは、スパッタリングを低減させるために、基板に外部バイアスを提供することなく実施され、および/または、キャリアガスとしてヘリウム(軽質ガス)を用いることを含む。
水素プラズマエッチング用のプラズマは、様々な周波数(低周波数および高周波数)を用いて生成されうる。適した周波数の例には、400KHz、2MHz、13.56MHz、27MHz、2.45GHzが含まれる。プラズマの生成に用いた電力は、いくつかの実施形態では、約0.0018W/cm2から約0.36W/cm2の間の電力密度に対応する約50Wから約1,000Wの間の範囲でありうる。基板におけるバイアスは任意であり、バイアス電力は約0Wから約500Wの範囲でありうる。シャワーヘッドごとの適したガス流量(1枚の300mmのウエハ処理用)は、以下の通り。
i. H2:25sccmから750sccm
ii. Cl2:0sccmから500sccm(例えば、5sccm~200sccm)
iii.He:0sccmから500sccm(例えば、5sccm~100sccm)
iv. CH4:0sccmから500sccm(例えば、5sccm~100sccm)
いくつかの実施形態では、エッチングプロセスは、約1mTorrから約175mTorrの間の圧力で実施されうる。
いくつかの特定の実施形態では、プラズマは、高周波数生成(例えば、13.56MHzまたは27MHz)を用いて生成され、約0.07W/cm2および約0.18W/cm2の電力密度に対応する約200Wから約500Wの間のプラズマ電力を用いて提供される。基板のバイアス用の電力は、約0Wから約200Wの間である。シャワーヘッドごとの適したガス流量(1枚の300mmのウエハ処理用)は、以下の通り。
i. H2:100sccmから300sccm
ii. Cl2:0sccmから200sccm(例えば、5sccm~100sccm)
iii.He:0sccmから100sccm(例えば、5sccm~50sccm)
iv. CH4:0sccmから100sccm(例えば、5sccm~50sccm)
これらの実施形態では、エッチングプロセスは、約1mTorrから約30mTorrの間の圧力で実施される。
いくつかの実施形態では、エッチングの前に、露出したSnO2層を含む基板は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された材料の露出層も含み、記載のエッチング方法は、これらの材料に対して高選択比でSnO2をエッチングする。いくつかの実施形態では、エッチングは、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された材料を完全に除去することなく、露出したSnO2を基板の表面から完全に除去する。
別の態様では、基板上にエアギャップを形成するための方法が提供され、エアギャップの形成では、除去可能なスペーサ材としてSnO2が用いられる。例えば、SnO2からなるエアギャップスペーサは、FinFETデバイスで用いられうる。
従来の技術は、次の1つ以上を用いる。DRAM製造では、SiO2が用いられて、SiO2はHFを用いて除去される。この化学物質は、HFによる他の膜の化学侵食のために使用が限定的であり、非常に高いアスペクト比の構造(>15:1)に浸透するように生じる。ロジック製造では、従来のFinFETエアギャップスペーサの使用は、多すぎる工程のため複雑すぎる可能性がある。さらに、材料の組み合わせの完璧な選択はない。ウェットエッチングプロセスの使用で、小さなフィーチャおよび/または高アスペクト比のフィーチャの処理が問題になる。これらの不利益は、本明細書ではSnO2のエアギャップスペーサおよび処理方法を導入することによって処理される。
図2は、除去可能なSnO2スペーサを用いて半導体基板上にエアギャップを形成する方法の実施形態を表すプロセスフロー図である。この方法は、動作2201において、第1の材料の露出層、第2の材料の露出層、および、第1の材料の層と第2の材料の層との間に位置するSnO2の露出層を有する半導体基板を提供することによって開始する。第1の材料および第2の材料は、同じ材料または異なる材料であってよい。例えば、第1の材料および第2の材料は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNから独立して選択されてよい。いくつかの実施形態では、第1の材料および第2の材料は、共にSiO2である。他の実施形態では、第1の材料はSiO2であり、第2の材料はSiNである。一般に、材料のあらゆる組み合わせが選択されうる。次に、動作2203では、露出したSnO2は、本明細書に記載の水素プラズマエッチング化学物質を用いて、第1の材料および第2の材料の両方に対して選択的にエッチングされる。エッチングは、露出した第1の材料および第2の材料の存在下でSnO2を除去し、除去したSnO2に代えて凹状フィーチャを形成する。第1の材料および第2の材料の両方に対するSnO2除去のエッチング選択比は、少なくとも約10、または少なくとも約50など、少なくとも約5であることが好ましい。100など80より大きいエッチング選択比は、記載の方法によっても達成されうる。次に、動作2205では、第3の材料が凹状フィーチャを完全に充填することなく凹状フィーチャの上に堆積することで、第1の材料の層と第2の材料の層との間にエアギャップを形成する。第3の材料は、あらゆる適した材料であって、第1の材料および第2の材料の各々と同じまたは異なってよい。いくつかの実施形態では、第3の材料は、誘電体である。いくつかの実施形態では、第3の材料は、例えば、PECVDまたはHDP(高密度プラズマ)CVDによって堆積されたSiO2である。
好都合なことに、本明細書に記載のSnO2のエッチングプロセスは、ウェットエッチングを必要とせず、含フッ素化学物質への曝露を必要としない。好都合なことに、SnO2の水素プラズマエッチングは、デバイスの構造崩壊を引き起こすことなく、非常に狭幅(例えば、20Å~100Å)のSnO2の層上で実施されうる。構造崩壊は、超小型スペーサのウェットエッチング時に直面する問題である。一般に、エアギャップ形成シーケンスにおけるSnO2層の幅は、広範囲(例えば、10Å~5,000Å)にわたって変化しうるが、記載の方法は、20Å~100Åの幅の狭いSnO2スペーサを備える基板を処理するのに特に有効である。
エアギャップを形成するための処理シーケンスの一例は、実施形態の1つによるエアギャップ形成時の半導体基板の断面概略図を示す図3A~図3Gにおいて提供される。図3A~図3Eは、第1の材料および第2の材料の露出層の間に露出したSnO2層を含む基板を得るのに用いられうる処理工程を表す。かかる基板を得るために様々な他の方法が用いられうることが理解される。図の方法は、基板303上にゲート301を形成して、図3Aに示す構造をもたらすことを含む。ゲートは、基板上の突出したフィーチャである。いくつかの実施形態では、ゲート301は、酸化ハフニウムなどの高k酸化物である。次に、図3Bに表すように、基板303およびゲート301を覆うように第1の材料の層305が基板の上に共形に形成される。重要なことには、層305は、ゲート側壁およびゲート301の上面上の両方でゲートを覆う。図の一例では、層305はSiN層である。共形堆積は、例えばALDによって達成されうる。次に、図3Cに示すように、SnO2の層307が層305の上に共形に形成される。SnO2層307は、下地層305と接触し、基板303およびゲート301の上に存在する。SnO2層は、ゲート側壁およびゲートの上面の両方においてゲートを覆う。SnO2層は、ALDまたはPECVDによって堆積されうる。次に、第2の材料の層309が基板の上にSnO2層307上に共形に堆積されて、図3Dに示す構造をもたらす。いくつかの実施形態では、第2の材料はSiO2である。第2の材料は、SnO2層に接触し、基板303およびゲート301の上に存在して、ゲートの側壁および上面の両方においてゲートを覆う。次の工程では、この構造が(例えば、化学機械研磨を用いて)平坦化される。この工程は、第2の材料(例えば、SiO2)の層をゲートの上面から除去して、ゲートの側壁においてSnO2層を露出させる。図3Eに示す構造は、平坦化後の基板を表す。露出したSnO2層307は、ゲートの側壁において第1の材料の露出層305と第2の材料の露出層309との間に存在することが示される。この構造は、図2に示すプロセスフロー図の動作2201で用いられうる1つの可能な構造である。SnO2層が継続して共形に堆積される場合は、水平面に堆積するSnO2層の厚さは、ゲートの側壁で露出するSnO2層の幅に類似するだろう。例えば、SnO2が約20Å~約100Åの間の厚さに堆積される場合は、ゲートの側壁で露出したSnO2層は、約20Å~約100Åの間の幅になるだろう。
次に、本明細書に記載の水素プラズマエッチングが実施される。図3Eに示す基板は、エッチングプロセスチャンバ内でH2を含むプロセスガスにおいて形成されたプラズマと接触し、露出したSnO2層307は、(他の露出材料に対して)選択的にエッチングされて、第1の材料の層305と第2の材料の層309との間に凹状フィーチャを形成する。結果として生じた構造は、層305と層309との間に凹状フィーチャ311を表す図3に示される。最後に、次の工程では、凹状フィーチャ311を完全に充填することなく凹状フィーチャを覆うことでエアギャップが形成されるように、例えばHDP CVDによって第3の材料(例えば、SiO2)の層313が堆積される。
エアギャップを形成するための方法のより具体的な例は、(a)基板上に高k酸化物を含むゲートを形成することと、(b)ゲートと接触するSiN層をALDによって(ゲートの側壁およびゲートの上面の両方に)共形に堆積させることと、(c)SiN層の上に、例えばALDまたはPECVDによってSnO2層を共形に形成することと、(d)SnO2層の上にSiO2層を共形に形成することと、(e)構造を(例えば、化学機械研磨によって)平坦化することであって、平坦化は、SiO2を水平面から除去して、SnO2および第1の材料をゲートの側壁で露出させることで、露出したSiN層、露出したSiO2層、およびこれらの層の間に位置するSnO2の露出層を有する基板を提供することと、(f)本明細書に記載の水素プラズマを用いて、SiNおよびSiO2に対して高いエッチング選択比で露出したSnO2層をエッチングすることで、SiNとSiO2との間に凹状フィーチャを形成することと、()凹状フィーチャを完全に充填することなく凹状フィーチャの上にSiO2を堆積させることで、第1の材料の層と第2の材料の層との間にエアギャップを形成することと、を含む。いくつかの実施形態では、堆積されて水素プラズマエッチングによって除去されるSnO2層の幅は、約20Åから約100Åの間である。
FinFETデバイス製造におけるエアギャップを形成するための詳細な処理方式は、「Air Gap Spacer Integration For Improved Fin Device Performance」と題した、2016年12月6日発行の、Besser他による自己所有の米国特許第9,515,156号に記載されており、本明細書に記載のSnO2スペーサと併せて用いられうるエアギャップ形成方法を説明する目的で、その全てが参照として本明細書に援用される。いくつかの実施形態によるFinFETデバイス製造においてエアギャップを形成するための方法は、FinFETデバイス製造の様々な段階における半導体基板の断面図を示す図4A~図4Tを参照にして本明細書で説明される。
いくつかの実施形態では、エアギャップを有するFinFETデバイス、および、犠牲SnO2スペーサを用いてエアギャップをFinFETデバイスに統合するための方法が提供される。エアギャップスペーサは、統合時に犠牲SnO2スペーサを用いて形成される。犠牲スペーサは、続いて、自己整合ソース/ドレインコンタクトの形成後に除去される。エアギャップスペーサは、FinFET寄生容量を低減させる。低寄生容量は、プロセスウィンドウの喪失またはリソグラフィオーバレイ条件の緩和なしに達成されうる。
次に図4A~図4Bを参照すると、それぞれ、シャロー・トレンチ・アイソレーション(STI)・リセス・エッチング後の基板、および、ダミーゲート形成後の基板が示される。図4Aでは、基板100は、層間誘電体(ILD)層110および複数のフィン114を備える。ILD層110は、低k誘電体、ドープ酸化物、流動性酸化物、二酸化シリコン(SiO2)、または他の適した物質からなってよい。いくつかの例では、複数のフィン114は、STI酸化物を介在するシリコン(Si)からなってよい。STI酸化物は、複数のフィン114の上面上に位置してもよい。
図4Bでは、ダミーゲート118が複数のフィン114の上に堆積されてエッチングされる。いくつかの例では、ダミーゲート118は、ILD層110上に配置されて、複数のフィン114に対して横向きに延びる。いくつかの例では、ダミーゲート118は、ポリシリコンからなる。ハードマスク層122は、エッチング時にダミーゲート118をマスクするのに用いられてよい。
次に図4C~図4Dを参照すると、それぞれ、犠牲SnO2スペーサは堆積されてエッチングされ、ダミーゲートは除去される。図4Cでは、犠牲SnO2スペーサ128は、ダミーゲート118の外側面の回りに堆積されて、例えば本明細書に記載の水素プラズマエッチングを用いてエッチングされる。図4Dでは、ILD層132が犠牲SnO2スペーサ128の周りに堆積される。また、ダミーゲート118およびハードマスク層122は、エッチングまたはアッシングによって除去される。いくつかの例では、ダミーゲート118を形成するシリコンは、基板100のSnO2および二酸化シリコン(SiO2)材料に対して選択的にエッチングされる。
図4Eでは、置換メタルゲート(RMG)の形成が示される。置換メタルゲート(RMG)138は、ダミーゲート118の元の位置に堆積される。いくつかの例では、RMG138は、1nmから10nmの間の所定の厚さの高誘電(HK)率を有する。いくつかの例では、RMG138は、酸化ハフニウム(HfO2)、HfSiO2、酸化アルミニウム(Al23)、酸化ジルコニウム(ZrO2)、または酸化チタン(TiO2)などの高誘電率材料;窒化チタン(TiN)、窒化チタンアルミニウム(TiAlN)、窒化タングステン(WN)(xは整数)、窒化タングステンカーボン(WCN)、コバルト(Co)、または他の金属などの金属加工物機能設定物質;ならびに、タングステン(W)、コバルト(Co)、またはアルミニウム(Al)およびその合金などのバルク導電性金属からなる。いくつかの例では、化学機械研磨(CMP)は、RMG138の堆積後に実施されてよい。いくつかの例では、RMG138の上面は、CMP後は犠牲SnO2スペーサ128およびILD層132の上面と同一平面にある。
次に図4Fを参照すると、RMG138は、SnO2および二酸化シリコン(SiO2)に対して選択的かつ部分的にエッチングされる。より具体的には、RMG138は、犠牲SnO2スペーサ128およびILD層132の上面に対して下向きの方向に部分的かつ選択的にエッチングされて、RMG138に凹部139が形成される。RMG138の上面141は、犠牲SnO2スペーサ128およびILD層132の上面を含む平面より下に位置する。
次に図4G~図4Hを参照すると、それぞれ、ゲートキャッピング層の堆積、および、ゲートキャッピング層の化学機械研磨(CMP)が示される。図4Gでは、ゲートキャッピング層144が、RMG138の凹部および基板100の上面に堆積される。いくつかの例では、ゲートキャッピング層144は、シリコンオキシカーバイド(SiOC)または別の適した物質からなってよい。いくつかの例では、ゲートキャッピング層144は、「Remote Plasma Based Deposition of SiOC Class Films」と題した、2013年12月12日公開の、Varadarajan他による、本願の譲受人に譲渡された米国特許出願公開第2013/0330935号であって、その全てが参照により本明細書に援用される米国特許出願公開第2013/0330935号に記載されたプロセスを用いて堆積される。いくつかの例では、ゲートキャッピング層144は、その米国特許出願公開に記載のリモートプラズマプロセスを用いて堆積される。図4Hでは、ゲートキャッピング層144のCMPは、ゲートキャッピング層145をRMG138に形成するために実施される。いくつかの例では、ゲートキャッピング層145の上面は、CMP後は犠牲SnO2スペーサ128およびILD層132の上面と同一平面である。
次に図4I~図4Jを参照すると、それぞれ、自己整合コンタクト(SAC)領域のエッチング、SACの充填、および犠牲スペーサの除去が示される。図4Iでは、複数の114を露出して自己整合コンタクト領域を形成するため、複数のフィン114の対向側を囲むILD層132の領域は、マスクされて、ゲートキャッピング層および犠牲SnO2スペーサに対して選択的にILDをエッチングするエッチング法を用いてエッチングされる。
図4Jでは、自己整合コンタクト領域は、SAC材料152で充填される。いくつかの例では、SAC材料152は、金属層155またはバリア層153を備え、金属155はバリア層153上に堆積される。いくつかの例では、バリア層153は、チタン(Ti)および窒化チタン(TiN)の二重層を含み、金属層155はタングステン(W)を含むが、バリアにはWCN、金属層にはCoなど他の材料が用いられうる。図4Kでは、犠牲SnO2スペーサ128が除去される。例えば、犠牲SnO2スペーサ128のエッチングは、本明細書に記載の水素プラズマエッチング法を用いて、露出材料に選択的に実施されうる。例えば、SnO2材料は、SiOC層145とILD層(例えば、ドープSiO2またはアンドープSiO2)132との間に存在し、水素プラズマを用いてこれらの材料に対して選択的にエッチングされてよい。
次に図4L~図4Nを参照すると、シール層が基板上に堆積され、CMPがエアギャップスペーサを形成するために実施される。図4Lでは、シール層156は、基板100の上面上に堆積される。堆積時に、犠牲SnO2スペーサ128が除去された後に形成されたエアギャップ159の上部は、シール層156によって部分的に充填される。いくつかの例では、シール層156は、ILD、二酸化シリコン(SiO2)、炭素ドープされたSiO2、またはシリコンオキシカーバイド(SiOC)からなる。いくつかの例では、シール層156は、プラズマ強化化学気相堆積(PECVD)を用いて堆積されるが、他の堆積プロセスが用いられてよい。
いくつかの例では、シール層156は、「Remote Plasma Based Deposition of SiOC Class Films」と題した、以前に参照によって援用された本願の譲受人に譲渡された米国特許出願公開第2013/0330935に記載のプラズマ強化化学気相堆積を用いて堆積されるSiOCを含む。
図4Mでは、CMPが基板100の上面上に位置するシール層156の一部を除去して、エアギャップシール157が形成される。いくつかの例では、エアギャップシール157の上面は、CMP後はゲートキャッピング層145およびILD層132の上面と同一平面にある。図4Nでは、複数のフィン114に平行かつ複数のフィン114から離間した平面に沿って取られた基板の断面図を示す。エアギャップ159は、エアギャップシール157より下に位置する。
次に図4Oを参照すると、エッチング停止層164が基板100の上面上に堆積される。いくつかの例では、エッチング停止層164はSiOCを含むが、他の材料を用いてよい。いくつかの例では、SiOCは、「Remote Plasma Based Deposition of SiOC Class Films」と題した、以前に参照によって援用された、本願の譲受人に譲渡された米国特許出願公開第2013/0330935号に記載されたように堆積される。ILD層166は、エッチング停止層164上に堆積される。
次に図4P~図4Tを参照すると、基板の異なる部分を開くために様々な異なるエッチング工程が実施される。図4P~図4Qでは、様々なエッチング工程の例が示される。図4Pでは、ILD層166がエッチングされて、エッチング停止層164の部分190の下地層が選択的に露出される。図4Qでは、エッチング停止層164がエッチングされて、ゲートキャッピング層145、エアギャップシール157、金属層155、およびILD層132の部分がさらなる処理のために開かれる。
図4Rおよび図4Sでは、ILD層166およびエッチング停止層164がエッチングされて、基板100の部分192の下地層が選択的に露出される。図4Sでは、エアギャップシール157、金属層155、およびILD層132の異なる部分がさらなる処理のために開かれる。
図4Tでは、ILD層166は、パターニングされて、基板100の部分198および部分200の下地層を露出させるようにエッチングされる。エアギャップシール157、金属層155、RMG138、およびILD層132の部分は、さらなる処理のために開かれる。理解できるように、さらなる処理のために様々な他の副層が開かれてよい。
他の実施形態
様々な他の実施形態では、SnO2層および水素プラズマエッチングが以下の利用で用いられうる。
いくつかの実施形態では、一般に用いられるポリシリコンのダミーゲートに代えて、SnO2層がダミーゲートとして用いられる。例えば、以前に図4A~図4Tを参照して説明されたプロセスフローは、スペーサ128がSnO2を含まない材料からなる一方で、ダミーゲート118がSnO2からなるように、本実施形態で変更される。例えば、本実施形態では、スペーサ128はSiNスペーサであってよい。変更された方法は、ダミーゲート除去のためにH2プラズマ化学物質を用いてダミーゲート118をエッチングすることを含む。一実施形態では、この方法は、SnO2ダミーゲートを半導体基板上に形成することと、半導体基板をSnO2ダミーゲートの存在下で処理することであって、この処理は、半導体基板上への材料の堆積、および/または、半導体基板上の材料のエッチングを含んでよいことと、H2を含むプロセスガスにおいて形成されたプラズマでSnO2ダミーゲートをエッチングして、ダミーゲートに代えて凹状フィーチャを形成することと、形成された凹状フィーチャに高k誘電材料を堆積させることでダミーゲートに代えてゲートを形成することと、を含む。いくつかの実施形態では、ダミーゲート除去時の水素プラズマエッチングは、少なくとも約50%のH2を含むプロセスガスで、約100℃未満の温度で形成されたプラズマを用いてエッチングすることを含む。いくつかの実施形態では、エッチングは、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された1つ以上の物質に選択的に実施され、これらの物質は、エッチング前に基板上に露出されてよい、または、エッチング中に露出されてよい。ダミーゲートにSnO2を、ダミーゲートのエッチングにH2プラズマを用いる利点には、SiO2および低kスペーサに選択的なSnO2の容易な除去と、高温耐性とが含まれる。
他の実施形態では、SnO2層は、BEOLの利用において高抵抗体として用いられる。ポリシリコンはBEOLで利用可能ではないため、この利用は有効である。
他の実施形態では、SnO2層は、インプラントスクリーンとして用いられる。この利用では、SnO2の堆積に利用可能な低堆積温度、および、水素プラズマエッチングによって達成されうる高選択比除去が必要になる。好都合なことに、水素プラズマ除去が用いられるときは、HF浸漬は必要ない。
装置
本明細書に記載の水素プラズマエッチング法は、様々な装置で実行されうる。適した装置には、エッチングプロセスチャンバ、エッチング中に基板を所定位置に保持するように構成されたエッチングプロセスチャンバ内の基板ホルダ、および、プロセスガスにおいてプラズマを生成するように構成されたプラズマ生成機構が含まれる。
適した装置の例には、特定の実施形態では、原子層エッチング(ALE)動作および原子層堆積(ALD)動作を含む周期的な堆積活性化プロセスにも適した誘導結合型プラズマ(ICP)リアクタが含まれる。かかるICPリアクタは、「Method for Forming a Mask by Etching Conformal Film on Patterned Ashable Hardmask」と題した、2016年6月7日発行の、その全てが全ての目的のために参照として本明細書に援用される米国特許第9,362,133号にも記載されている。本明細書ではICPリアクタが詳細に説明されるが、容量結合型プラズマリアクタが用いられてもよいことを理解されたい。
図5は、本明細書に記載の水素プラズマエッチングを実施するのに適した誘導結合型プラズマエッチング堆積統合装置400の断面図を概略的に示し、その例は、カリフォルニア州フレモントのラム・リサーチ・コーポレーションによって製造されたKiyo(登録商標)リアクタである。誘導結合型プラズマ装置400は、チャンバ壁401および窓411によって構造的に規定される総合プロセスチャンバ424を備える。チャンバ壁401は、ステンレス鋼またはアルミニウムから製造されてよい。窓411は、石英または他の誘電材料から製造されてよい。任意の内部プラズマグリッド450は、総合プロセスチャンバを上部サブチャンバ402と下部サブチャンバ403とに分割する。ほとんどの実施形態では、プラズマグリッド450が取り除かれることで、サブチャンバ402およびサブチャンバ403からなるチャンバスペースが利用されてよい。チャック417は、底部内面付近の下部サブチャンバ403内に位置する。チャック417は、エッチングおよび堆積プロセスが実施される半導体ウエハ419を受け取り保持するように構成される。チャック417は、存在するときはウエハ419を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)は、チャック417を囲み、ウエハがチャック417の上に存在するときはウエハ419の上面と略同一平面にある上部面を有する。チャック417は、ウエハ419をチャッキングおよびデチャッキングするための静電電極を備えてもよい。このために、フィルタおよび直流クランプ電源(図示せず)が提供されてよい。ウエハ419をチャック417から持ち上げるための他の制御システムも提供されうる。チャック417は、RF電源423を用いて充電されうる。RF電源423は、接続部427を通じて整合回路421に接続される。整合回路421は、接続部425を通じてチャック417に接続される。このようにして、RF電源423はチャック417に接続される。様々な実施形態では、静電チャックのバイアス電力は、約50Vbに設定されてよい、または、開示の実施形態に従って実施されるプロセスに応じて異なるバイアス電力に設定されてよい。例えば、バイアス電力は、約20Vbから約100Vbの間、または、約30Vbから約150Vbの間であってよい。
プラズマ生成のための構成要素には、窓411より上に位置するコイル433が含まれる。いくつかの実施形態では、コイルは開示の実施形態では用いられない。コイル433は、導電性材料から製造され、少なくとも1つの完全なターンを含む。図に示すコイル433の例は、3ターンを含む。コイル433の断面は記号によって示され、「X」を有するコイルは回転してページに延び、「●」を有するコイルは回転してページから延びる。プラズマ生成のための構成要素は、RF電力をコイル433に供給するように構成されたRF電源441も備える。一般に、RF電源441は、接続部445を通じて整合回路439に接続される。整合回路439は、接続部443を通じてコイル433に接続される。このようにして、RF電源441はコイル433に接続される。任意のファラデーシールド449aが、コイル433と窓411との間に配置される。ファラデーシールド449aは、コイル433に対して離間した関係で維持されてよい。いくつかの実施形態では、ファラデーシールド449aは、窓411の真上に配置される。いくつかの実施形態では、ファラデーシールド449bは、窓411とチャック417との間にある。いくつかの実施形態では、ファラデーシールド449bは、コイル433に対して離間した関係で維持されない。例えば、ファラデーシールド449bは、隙間なく窓411の真下にあってよい。コイル433、ファラデーシールド449a、および窓411は、それぞれ互いに実質的に平行になるように構成される。ファラデーシールド449aは、金属または他の種がプロセスチャンバ424の窓411上に堆積するのを防いでよい。
プロセスガス(例えば、H2、Heなど)は、上部サブチャンバ402に位置する1つ以上のメインガス流入口460を通じて、および/または、1つ以上のサイドガス流入口470を通じてプロセスチャンバに流入されてよい。同様に、明示されていないが、プロセスガスを容量結合型プラズマ処理チャンバに供給するのに類似のガス流入口が用いられてよい。真空ポンプ(例えば、1段または2段機械式のドライポンプ、および/または、ターボ分子ポンプ440)は、プロセスガスをプロセスチャンバ424から排出して、プロセスチャンバ424内の圧力を維持するのに用いられてよい。例えば、真空ポンプは、パージ動作時に下部サブチャンバ403を排気するのに用いられてよい。真空ポンプによって提供された真空環境の使用を選択的に制御するために、弁制御された導管は、真空ポンプをプロセスチャンバ424に流体接続するのに用いられてよい。これは、プラズマ処理動作時に、スロットル弁(図示せず)または振子弁(図示せず)などの閉ループ制御された流量制限装置を採用することで行われる。同様に、容量結合型プラズマ処理チャンバへは、真空ポンプおよび弁制御された流体接続が採用されてもよい。
装置400の動作時に、H含有ガスなどの1つ以上のプロセスガスは、ガス流入口460および/またはガス流入口470を通じて供給されてよい。特定の実施形態では、プロセスガスは、メインガス流入口460のみを通じて、または、サイドガス流入口470のみを通じて供給されてよい。場合によっては、図示のガス流入口は、より複雑なガス流入口(例えば、1つ以上のシャワーヘッド)に置き換えられてよい。ファラデーシールド449aおよび/または任意のグリッド450は、プロセスチャンバ424へのプロセスガスの供給が可能な内部流路および孔を備えてよい。ファラデーシールド449aおよび任意のグリッド450のいずれかまたは両方は、プロセスガス供給用のシャワーヘッドとして機能してよい。いくつかの実施形態では、液体反応物または液体前駆体が気化されると、気化した反応物または前駆体がガス流入口460および/またはガス流入口470を通じてプロセスチャンバ424に導入されるように、液体気化供給システムは、プロセスチャンバ424の上流に配置されてよい。
RF電流がコイル433に流れるようにするために、無線周波数電力がRF電源441からコイル433に供給される。コイル433を流れるRF電流は、コイル433の周りに電磁場を生成する。電磁場は、上部サブチャンバ402内部に誘導電流を生成する。ウエハ419と様々な生成したイオンおよびラジカルとの物理的および化学的相互作用により、ウエハ419のフィーチャがエッチングされ、ウエハ419上に層が選択的に堆積される。
上部サブチャンバ402および下部サブチャンバ403の両方が存在するようにプラズマグリッド450が用いられる場合は、誘導電流は上部サブチャンバ402に存在するガスに作用して、上部サブチャンバ402で電子-イオンプラズマが生成される。任意の内部プラズマグリッド450は、下部サブチャンバ403内のホットエレクトロンの量を制限する。いくつかの実施形態では、装置400は、下部サブチャンバ403に存在するプラズマがイオン-イオンプラズマになるように設計されて操作される。
上部の電子-イオンプラズマおよび下部のイオン-イオンプラズマの両方は、陽イオンおよび陰イオンを含んでよいが、イオン-イオンプラズマは、陽イオンに対してより大きな陰イオンの比率を有するだろう。揮発性のエッチングおよび/または堆積の副生成物は、ポート422を通じて下部サブチャンバ403から除去されてよい。例えば、H2プラズマを用いるSnO2のエッチング時に生成されたSnH4は、パージおよび/または排気時にポート422を通じて除去されうる。本明細書に記載のチャック417は、約10℃から約250℃の間の範囲の高温で動作してよい。温度は、プロセス動作および特定のレシピに依存するだろう。いくつかの実施形態では、装置は、約100℃未満の温度でエッチングを実行するように制御される。
装置400は、クリーンルームまたは製造施設に設置されたときに、設備(図示せず)に結合されてよい。設備には、処理ガス、真空、温度制御、および環境粒子制御を提供する配管が含まれる。これらの設備は、目的の製造施設に設置されたときに装置400に結合される。また、装置400は、ロボットが一般的な自動化を用いて半導体ウエハを装置400において搬入出できるようにする搬送チャンバに結合されてよい。
いくつかの実施形態では、システム制御装置430(1つ以上の物理的または論理的制御装置を含んでよい)は、プロセスチャンバ424の一部または全ての動作を制御する。システム制御装置430は、1つ以上のメモリデバイスおよび1つ以上のプロセッサを備えてよい。いくつかの実施形態では、装置400は、プロセスガスの流量を制御するための切替システムを備える。いくつかの実施形態では、制御装置は、本明細書に記載のあらゆる方法の工程を実行させるためのプログラム命令を含む。
いくつかの実施形態では、システム制御装置430は、上述の例の一部であってよいシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後にそれらの動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御するシステム制御装置430と統合されてよい。システム制御装置は、処理条件および/またはシステムの種類に応じて、本明細書に開示のあらゆるプロセス(処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールならびに/または特定のシステムと接続もしくはインタフェースするロードロックに対するウエハの搬入出)を制御するようにプログラムされてよい。
概して、システム制御装置430は、命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、記憶装置、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式で制御装置に伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/もしくは、ウエハダイの製作時または除去時における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、システム制御装置430は、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、制御装置は、ウエハ処理のリモートアクセスを可能にする、「クラウド」内にあってよい、または、ファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または性能のメトリクスを調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに連通されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでよい。いくつかの例では、システム制御装置430は、1つ以上の動作中に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、制御装置がインタフェースまたは制御するように構成されるツールの種類に特有であってよいことを理解されたい。そのため、上述のように、システム制御装置430は、例えば、互いにネットワーク接続されている1つ以上の離散制御装置を含むことや、本明細書に記載のプロセスや制御など共通の目的に向かって取り組むことにより配置されてよい。かかる目的で配置された制御装置の例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)位置し、組み合わせてチャンバ上のプロセスを制御する1つ以上の集積回路と連通するチャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、ALEチャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連してよいもしくは用いられてよいその他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、制御装置は、1つ以上の他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別の制御装置、または、半導体製造工場においてウエハ容器をツール位置および/もしくはロードポートに対して搬入出する材料搬送で用いられるツールと連通してよい。
図6は、真空搬送モジュール(VTM)538とインタフェースする様々なモジュールを備える半導体プロセスクラスタ機構を表す。複数の格納設備および処理モジュールの間でウエハを「搬送する」ための様々なモジュール配置は、「クラスタ・ツール・アーキテクチャ」システムと呼ばれてよい。ロードロックまたは搬送モジュールとしても知られるエアロック530は、個々に最適化されて様々な製造プロセスを実施してよい4つの処理モジュール520a~520dと順にインタフェースするVTM538とインタフェースする。例として、処理モジュール520a~520dは、基板のエッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/または、他の半導体プロセスを実施するために実装されてよい。いくつかの実施形態では、SnO2堆積およびSnO2エッチングは、同じモジュールで実施される。いくつかの実施形態では、SnO2堆積およびSnO2エッチングは、同じツールの異なるモジュールで実施される。1つ以上の基板エッチング処理モジュール(520a~520dのいずれか)は、本明細書に開示されるように、例えば、共形膜の堆積、SnO2の選択的なエッチング、エアギャップの形成、および、開示の実施形態に従う他の適した機能のために実装されてよい。エアロック530および処理モジュール520a~520dは、「ステーション」と呼ばれてよい。各ステーションは、ステーションをVTM538に接続するファセット536を有する。各ファセットの内側では、ウエハ526がそれぞれのステーションの間を移動するときにその通過を検出するのにセンサ1~18が用いられる。
ロボット522は、ウエハ526をステーション間で搬送する。一実施形態では、ロボット522は1つのアームを有し、別の実施形態では、ロボット522は2つのアームを有する。各アームは、ウエハ526などのウエハを搬送のために取り上げるエンドエフェクタ524を有する。大気搬送モジュール(ATM)540において、フロントエンドロボット532は、カセットまたはロードポートモジュール(LPM)542の前面開閉搬送容器(FOUP)534からエアロック530にウエハ526を搬送するのに用いられる。処理モジュール520a~520d内部のモジュール中心部528は、ウエハ526を設置するための一位置である。ATM540内のアライナ544は、ウエハを位置合わせするのに用いられる。
例示的な処理方法では、ウエハは、LPM542内のFOUP534の1つに設置される。フロントエンドロボット532は、ウエハをFOUP534からアライナ544に搬送し、これによりウエハ526がエッチングまたは処理される前に適切に中心に置かれることが可能になる。位置合わせ後に、ウエハ526は、フロントエンドロボット532によってエアロック530に移される。エアロック530がATM540とVTM538との間の環境を整合させる能力を有するため、ウエハ526は、損傷することなく2つの圧力環境間を移動することができる。ウエハ526は、ロボット522によってエアロック530からVTM538を通って処理ジュール520a~520dの1つに移される。このウエハの動きを達成するために、ロボット522は、その各々のアームのエンドエフェクタ524を用いる。ウエハ526は、処理されると、ロボット522によって処理モジュール520a~520dからエアロック530に移される。ウエハ526は、ここからフロントエンドロボット532によってFOUP534の1つ、または、アライナ544に移されてよい。
ウエハの動きを制御するコンピュータは、クラスタ・アーキテクチャにローカルでありうる、または、製造現場でクラスタ・アーキテクチャの外部に、もしくは、遠隔地に位置して、ネットワークを介してクラスタ・アーキテクチャに接続しうる。図5に関連して上述された制御装置は、図6のツールと共に実装されてよい。本発明に従ってプロセス動作を制御するための命令を含む機械可読媒体は、システム制御装置に結合されてよい。
いくつかの実施形態では、装置が提供され、この装置は、エッチング時に半導体基板を保持するように構成された基板ホルダを有するプロセスチャンバと、プロセスガスにおいてプラズマを生成するように構成されたプラズマ生成器と、制御装置と、を備える。制御装置は、本明細書に記載のあらゆる方法を実施するためのプログラム命令を含む。一実施形態では、制御装置は、約100℃未満の温度で半導体基板上のSnO2層のエッチングを実施させるためのプログラム命令を含み、エッチングを実施させることは、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに半導体基板を曝露させることを含む。
別の態様では、約100℃未満の温度で半導体基板上のSnO2層のエッチングを実行させるためのコードを含む非一時的コンピュータ機械可読媒体が提供され、エッチングを実行させることは、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに半導体基板を曝露させることを含む。
別の態様では、エアギャップを半導体基板上に形成するためのシステムが提供される。このシステムは、1つ以上の堆積チャンバ、1つ以上のエッチングチャンバ、および、制御装置を備える。制御装置は、本明細書に記載のあらゆるエアギャップ形成方法を実施するためのプログラム命令を含む。例えば、制御装置は、(i)第1の材料の露出層、第2の材料の露出層、および第1の材料の層と第2の材料の層との間に位置するSnO2の露出層を有する半導体基板上で、水素プラズマエッチング化学物質を用いて露出したSnO2を第1の材料および第2の材料の両方に対して選択的にエッチングすることで、第1の材料と第2の材料との間に凹状フィーチャを形成する工程と、(ii)凹状フィーチャを完全に充填することなく凹状フィーチャの上に第3の材料を堆積させることで、第1の材料の層と第2の材料の層との間にエアギャップを形成する工程と、を実行させるための命令を含んでよい。
別の態様では、システムは、本明細書に記載のあらゆる装置およびシステム、ならびに、ステッパを備える。
別の態様では、非一時的コンピュータ機械可読媒体が提供され、それは(i)第1の材料の露出層、第2の材料の露出層、および第1の材料の層と第2の材料の層との間に位置するSnO2の露出層を有する半導体基板上で、水素プラズマエッチング化学物質を用いて露出したSnO2を第1の材料および第2の材料の両方に対して選択的にエッチングすることで、第1の材料と第2の材料との間に凹状フィーチャを形成するためのコードと、(ii)凹状フィーチャを完全に充填することなく凹状フィーチャの上に第3の材料を堆積させることで、第1の材料の層と第2の材料の層との間にエアギャップを形成するためのコードと、を含む。
さらなる実施形態
本明細書に記載の装置およびプロセスは、例えば、半導体デバイス、表示画面、LED、太陽光発電パネルなどの製作または製造のために、リソグラフィ・パターニング・ツールまたはプロセスと併せて用いられてよい。必ずしも一般的ではないが、かかる装置およびプロセスは、共通の製造施設で共に用いられるまたは実行されるだろう。膜のリソグラフィパターニングは、通常、(1)スピンオンツールまたはスプレーオンツールを用いてワークピース(すなわち、基板)にフォトレジストを塗布する工程、(2)ホットプレート、加熱炉、または、紫外線硬化ツールを用いてフォトレジストを硬化させる工程、(3)ウエハステッパなどのツールを用いてフォトレジストを可視光、紫外線、または、X線に曝露する工程、(4)レジストを選択的に除去することでウェットベンチなどのツールを用いてパターニングできるようにレジストを現像する工程、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いることによってレジストパターンを下地膜またはワークピースに転写する工程、および、(6)RFまたは電磁波プラズマレジスト剥離剤などのツールを用いてレジストを除去する工程、のいくつかまたは全ての工程を含み、各工程は、多数の実行可能なツールによって可能である。
本発明は以下の適用例としても実現できる。
[適用例1]
半導体基板を処理する方法であって、
(a)露出したSnO 2 層を有する半導体基板を提供することと、
(b)前記SnO 2 層を約100℃未満の温度でエッチングすることと、を含み、
前記エッチングすることは、前記半導体基板を、少なくとも約50%のH 2 を含むプロセスガスにおいて形成されたプラズマに曝露することを含む、方法。
[適用例2]
適用例1に記載の方法であって、
(a)において提供された前記基板は、さらに、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された露出した第2の材料を含み、
(b)は、SnO 2 を、前記第2の材料に対して少なくとも約10のエッチング選択比でエッチングすることを含む、方法。
[適用例3]
適用例1に記載の方法であって、
(a)において提供された前記基板は、さらに、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された露出した第2の材料を含み、
(b)は、SnO 2 を、前記第2の材料に対して少なくとも約80のエッチング選択比でエッチングすることを含む、方法。
[適用例4]
適用例1に記載の方法であって、
(b)の前記エッチングすることは、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された第2の材料を露出することを含み、
(b)は、さらに、前記第2の材料が前記第2の材料に対して少なくとも約10のエッチング選択比で露出された後にSnO 2 をエッチングすることを含む、方法。
[適用例5]
適用例1に記載の方法であって、
前記プロセスガスは、少なくとも約80%のH 2 を含む、方法。
[適用例6]
適用例1に記載の方法であって、
前記プロセスガスは、H 2 を主成分とする、方法。
[適用例7]
適用例1に記載の方法であって、
前記プロセスガスは、H 2 および不活性ガスを主成分とする、方法。
[適用例8]
適用例1に記載の方法であって、
前記プロセスガスは、炭化水素をさらに含む、方法。
[適用例9]
適用例1に記載の方法であって、
前記プロセスガスは、Cl 2 をさらに含む、方法。
[適用例10]
適用例1に記載の方法であって、
(b)は、前記基板に外部バイアスを用いることなくプラズマを形成することを含む、方法。
[適用例11]
適用例1に記載の方法であって、
(b)は、約0.0018W/cm 2 から約0.36W/cm 2 の間の電力密度を用いてプラズマを生成することを含む、方法。
[適用例12]
適用例1に記載の方法であって、
前記SnO 2 をエッチングすることは、約1mTorrから約175mTorrの間の圧力で実行される、方法。
[適用例13]
適用例1に記載の方法であって、
前記プロセスガスは、H 2 およびHeを含む、方法。
[適用例14]
適用例1に記載の方法であって、
前記プロセスガスは、H 2 、He、および、炭化水素を含む、方法。
[適用例15]
適用例1に記載の方法であって、さらに、
(a)の前に、原子層堆積によって前記半導体基板上に前記SnO 2 層を堆積させることを含む、方法。
[適用例16]
適用例1に記載の方法であって、
(b)は、SiO 2 の存在下でSnO 2 を選択的にエッチングすることを含み、
前記エッチングの選択比は、少なくとも10である、方法。
[適用例17]
適用例1に記載の方法であって、さらに、
前記半導体基板にフォトレジストを塗布することと、
前記フォトレジストを露光することと、
前記フォトレジストをパターニングして、パターンを前記基板に転写することと、
前記フォトレジストを前記基板から選択的に除去することと、
を含む、方法。
[適用例18]
SnO 2 層をエッチングするための装置であって、
(a)エッチング時に前記半導体基板を保持するように構成された基板ホルダを有するプロセスチャンバと、
(b)プロセスガスにおいてプラズマを生成するように構成されたプラズマ生成器と、
(c)約100℃未満の温度で前記半導体基板上の前記SnO 2 層の前記エッチングを行わせるためのプログラム命令を含む制御装置であって、前記エッチングを行わせることは、少なくとも約50%のH 2 を含むプロセスガスにおいて形成されたプラズマに前記半導体基板を曝露することが含まれる、制御装置と、
を備える、装置。
[適用例19]
半導体基板上にエアギャップを形成するための方法であって、
(a)第1の材料の露出層、第2の材料の露出層、および、前記第1の材料の前記層と前記第2の材料の前記層との間に位置するSnO 2 の露出層を有する半導体基板を提供することと、
(b)水素プラズマエッチング化学物質を用いて、前記露出したSnO 2 を前記第1の材料および前記第2の材料の両方に対して選択的にエッチングすることで、前記第1の材料と前記第2の材料との間に凹状フィーチャを形成することと、
(c)前記凹状フィーチャを完全に充填することなく前記凹状フィーチャの上に第3の材料を堆積させることで、前記第1の材料の前記層と前記第2の材料の前記層との間に前記エアギャップを形成することと、
を含む、方法。
[適用例20]
適用例19に記載の方法であって、
前記第1の材料は、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、および、SiCNからなる群より選択され、
前記第2の材料は、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、および、SiCNからなる群より選択される、方法。
[適用例21]
適用例19に記載の方法であって、
前記第1の材料および前記第2の材料は同じである、方法。
[適用例22]
適用例19に記載の方法であって、
前記第3の材料はSiO 2 である、方法。
[適用例23]
適用例19に記載の方法であって、
(b)は、前記半導体基板を、少なくとも約50%のH 2 を含むプロセスガスにおいて形成されたプラズマに曝露することを含む、方法。
[適用例24]
適用例19に記載の方法であって、
(b)は、前記露出したSnO 2 層を約100℃未満の温度でエッチングすることを含む、方法。
[適用例25]
適用例19に記載の方法であって、
前記第1の材料の前記層と前記第2の材料の前記層との間に存在する前記SnO 2 の露出層は、約20Å~約100Åの間の幅を有する、方法。
[適用例26]
適用例19に記載の方法であって、さらに、(a)の前に、
前記半導体基板上にゲートを形成することと、
前記第1の材料が前記ゲートの側壁および上面の両方を覆うように、前記第1の材料の層を前記半導体基板の上に形成することと、
SnO 2 が前記ゲートの前記側壁および前記上面の両方の上の前記第1の材料を覆うように、SnO 2 層を前記第1の材料の前記層の上に形成することと、
前記第2の材料が前記ゲートの前記側壁および前記上面の両方の上の前記SnO 2 を覆うように、前記第2の材料の層を前記SnO 2 層の上に形成することと、
前記第2の材料を前記基板の水平面から除去することで、(a)で提供された構造を形成することと、
を含む、方法。
[適用例27]
適用例26に記載の方法であって、
前記第1の材料はSiNであり、前記第2の材料はSiO 2 である、方法。
[適用例28]
適用例26に記載の方法であって、
前記ゲートは高k酸化物を含む、方法。
[適用例29]
適用例26に記載の方法であって、
SnO 2 は、約20Å~約100Åの間の厚さに堆積する、方法。
[適用例30]
適用例19に記載の方法であって、さらに、
フォトレジストを前記半導体基板に塗布することと、
前記フォトレジストを露光することと、
前記フォトレジストをパターニングして、パターンを前記基板に転写することと、
前記フォトレジストを前記基板から選択的に除去することと、
を含む、方法。
[適用例31]
半導体基板上にエアギャップを形成するためのシステムであって、
(a)1つ以上の堆積プロセスチャンバと、
(b)1つ以上のエッチングプロセスチャンバと、
(c)制御装置であって、
(i)第1の材料の露出層、第2の材料の露出層、および、前記第1の材料の前記層と前記第2の材料の前記層との間に位置するSnO 2 の露出層を有する半導体基板上で、水素プラズマ化学物質を用いて前記露出したSnO 2 を前記第1の材料および前記第2の材料の両方に対して選択的にエッチングすることで、前記第1の材料と前記第2の材料との間に凹状フィーチャを形成する工程と、
(ii)前記凹状フィーチャを完全に充填することなく前記凹状フィーチャの上に第3の材料を堆積させることで、前記第1の材料の前記層と前記第2の材料の前記層との間に前記エアギャップを形成する工程と、を行わせるためのプログラム命令を含む制御装置と、
を備える、システム。
[適用例32]
適用例32に記載のシステムであって、ステッパをさらに備える、システム。
[適用例33]
半導体基板を処理するための方法であって、
(a)前記半導体基板上にSnO 2 ダミーゲートを形成することと、
(b)前記SnO 2 ダミーゲートの存在下で前記半導体基板を処理することと、
(c)H 2 を含むプロセスガスにおいて形成されたプラズマを用いて前記SnO 2 ダミーゲートをエッチングして、前記ダミーゲートに代えて凹状フィーチャを形成することと、
(d)前記形成された凹状フィーチャに高k誘電材料を堆積させて、前記ダミーゲートに代えてゲートを形成することと、
を含む、方法。
[適用例34]
半導体基板を処理するためのシステムであって、
(a)1つ以上の堆積プロセスチャンバと、
(b)1つ以上のエッチングプロセスチャンバと、
(c)制御装置であって、
(i)前記半導体基板上にSnO 2 ダミーゲートを形成する工程と、
(ii)前記SnO 2 ダミーゲートの存在下で前記半導体基板を処理する工程と、
(iii)H 2 を含むプロセスガスにおいて形成されたプラズマを用いて前記SnO 2 ダミーゲートをエッチングして、前記ダミーゲートに代えて凹状フィーチャを形成する工程と、
(iv)前記形成された凹状フィーチャに高k誘電材料を堆積させて、前記ダミーゲートに代えてゲートを形成する工程と、を行わせるためのプログラム命令を含む制御装置と、
を備える、システム。

Claims (30)

  1. 半導体基板を処理する方法であって、
    (a)露出したSnO2と、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された露出した第2の材料と、を有する半導体基板を提供することと、
    (b)前記第2の材料に対して少なくとも約10のエッチング選択比で、前記SnO2層を約100℃未満の温度でエッチングすることと、を含み、
    前記エッチングすることは、前記半導体基板を、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに曝露することを含む、方法。
  2. 請求項1に記載の方法であって、
    (b)は、SnO2を、前記第2の材料に対して少なくとも約80のエッチング選択比でエッチングすることを含む、方法。
  3. 請求項1に記載の方法であって、
    前記プロセスガスは、少なくとも約80%のH2を含む、方法。
  4. 請求項1に記載の方法であって、
    前記プロセスガスは、約100%のH2 を含む、方法。
  5. 請求項1に記載の方法であって、
    前記プロセスガスは、H2および不活性ガスを含む、方法。
  6. 請求項1に記載の方法であって、
    前記プロセスガスは、炭化水素をさらに含む、方法。
  7. 請求項1に記載の方法であって、
    前記プロセスガスは、Cl2をさらに含む、方法。
  8. 請求項1に記載の方法であって、
    (b)は、前記基板に外部バイアスを用いることなくプラズマを形成することを含む、方法。
  9. 請求項1に記載の方法であって、
    (b)は、約0.0018W/cm2から約0.36W/cm2の間の電力密度を用いてプラズマを生成することを含む、方法。
  10. 請求項1に記載の方法であって、
    前記SnO2をエッチングすることは、約1mTorrから約175mTorrの間の圧力で実行される、方法。
  11. 請求項1に記載の方法であって、
    前記プロセスガスは、H2およびHeを含む、方法。
  12. 請求項1に記載の方法であって、
    前記プロセスガスは、H2、He、および、炭化水素を含む、方法。
  13. 請求項1に記載の方法であって、さらに、
    (a)の前に、原子層堆積によって前記半導体基板上に前記SnO2層を堆積させることを含む、方法。
  14. 請求項1に記載の方法であって、
    (b)は、SiO2の存在下でSnO2を選択的にエッチングすることを含み、
    前記エッチングの選択比は、少なくとも10である、方法。
  15. 請求項1に記載の方法であって、さらに、
    前記半導体基板にフォトレジストを塗布することと、
    前記フォトレジストを露光することと、
    前記フォトレジストをパターニングして、パターンを前記基板に転写することと、
    前記フォトレジストを前記基板から選択的に除去することと、
    を含む、方法。
  16. SnO2層をエッチングするための装置であって、
    (a)エッチング時に半導体基板を保持するように構成された基板ホルダを有するプロセスチャンバと、
    (b)プロセスガスにおいてプラズマを生成するように構成されたプラズマ生成器と、
    (c)SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された露出した第2の材料を更に有する前記半導体基板上の前記SnO2を、前記第2の材料に対して少なくとも約10のエッチング選択比で、約100℃未満の温度で、前記エッチングを行わせるためのプログラム命令を含む制御装置であって、前記エッチングを行わせることは、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに前記半導体基板を曝露することが含まれる、制御装置と、
    を備える、装置。
  17. 半導体基板上にエアギャップを形成するための方法であって、
    (a)第1の材料の露出層、第2の材料の露出層、および、前記第1の材料の前記層と前記第2の材料の前記層との間に位置するSnO2の露出層を有する半導体基板を提供することと、
    (b)水素プラズマエッチング化学物質を用いて、前記露出したSnO2を前記第1の材料および前記第2の材料の両方に対して選択的にエッチングすることで、前記第1の材料と前記第2の材料との間に凹状フィーチャを形成することと、
    (c)前記凹状フィーチャを完全に充填することなく前記凹状フィーチャの上に第3の材料を堆積させることで、前記第1の材料の前記層と前記第2の材料の前記層との間に前記エアギャップを形成することと、
    を含む、方法。
  18. 請求項1に記載の方法であって、
    前記第1の材料は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、および、SiCNからなる群より選択され、
    前記第2の材料は、SiO2、SiC、SiN、SiOC、SiNO、SiCNO、および、SiCNからなる群より選択される、方法。
  19. 請求項1に記載の方法であって、
    前記第1の材料および前記第2の材料は同じである、方法。
  20. 請求項1に記載の方法であって、
    前記第3の材料はSiO2である、方法。
  21. 請求項1に記載の方法であって、
    (b)は、前記半導体基板を、少なくとも約50%のH2を含むプロセスガスにおいて形成されたプラズマに曝露することを含む、方法。
  22. 請求項1に記載の方法であって、
    (b)は、前記露出したSnO2層を約100℃未満の温度でエッチングすることを含む、方法。
  23. 請求項1に記載の方法であって、
    前記第1の材料の前記層と前記第2の材料の前記層との間に存在する前記SnO2の露出層は、約20Å~約100Åの間の幅を有する、方法。
  24. 請求項1に記載の方法であって、さらに、(a)の前に、
    前記半導体基板上にゲートを形成することと、
    前記第1の材料が前記ゲートの側壁および上面の両方を覆うように、前記第1の材料の層を前記半導体基板の上に形成することと、
    SnO2が前記ゲートの前記側壁および前記上面の両方の上の前記第1の材料を覆うように、SnO2層を前記第1の材料の前記層の上に形成することと、
    前記第2の材料が前記ゲートの前記側壁および前記上面の両方の上の前記SnO2を覆うように、前記第2の材料の層を前記SnO2層の上に形成することと、
    前記第2の材料を前記基板の水平面から除去することで、(a)で提供された構造を形成することと、
    を含む、方法。
  25. 請求項2に記載の方法であって、
    前記第1の材料はSiNであり、前記第2の材料はSiO2である、方法。
  26. 請求項2に記載の方法であって、
    前記ゲートは高k酸化物を含む、方法。
  27. 請求項2に記載の方法であって、
    SnO2は、約20Å~約100Åの間の厚さに堆積する、方法。
  28. 請求項1に記載の方法であって、さらに、
    フォトレジストを前記半導体基板に塗布することと、
    前記フォトレジストを露光することと、
    前記フォトレジストをパターニングして、パターンを前記基板に転写することと、
    前記フォトレジストを前記基板から選択的に除去することと、
    を含む、方法。
  29. 半導体基板を処理する方法であって、
    (a)露出したSnO 2 層を有する半導体基板を提供することと、
    (b)前記SnO 2 層を約100℃未満の温度でエッチングすること、および、SiO 2 、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCNからなる群より選択された第2の材料を露出することと、を含み、
    前記エッチングすることは、前記半導体基板を、少なくとも約50%のH 2 を含むプロセスガスにおいて形成されたプラズマに曝露することを含み、
    前記エッチングすることは、前記第2の材料に対して少なくとも約10のエッチング選択比で行われる、方法。
  30. 半導体基板を処理する方法であって、
    (a)露出したSnO 2 層を有する半導体基板を提供することと、
    (b)前記SnO 2 層を約100℃未満の温度でエッチングすることと、を含み、
    前記エッチングすることは、前記半導体基板を、Cl 2 、および、少なくとも約50%のH 2 を含むプロセスガスにおいて形成されたプラズマに曝露することを含む、方法。
JP2018021500A 2017-02-13 2018-02-09 エアギャップの形成方法 Active JP7190814B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022194568A JP7483839B2 (ja) 2017-02-13 2022-12-06 エアギャップの形成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762458464P 2017-02-13 2017-02-13
US62/458,464 2017-02-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022194568A Division JP7483839B2 (ja) 2017-02-13 2022-12-06 エアギャップの形成方法

Publications (3)

Publication Number Publication Date
JP2018142698A JP2018142698A (ja) 2018-09-13
JP2018142698A5 JP2018142698A5 (ja) 2020-10-08
JP7190814B2 true JP7190814B2 (ja) 2022-12-16

Family

ID=63105400

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018021500A Active JP7190814B2 (ja) 2017-02-13 2018-02-09 エアギャップの形成方法
JP2022194568A Active JP7483839B2 (ja) 2017-02-13 2022-12-06 エアギャップの形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022194568A Active JP7483839B2 (ja) 2017-02-13 2022-12-06 エアギャップの形成方法

Country Status (6)

Country Link
US (3) US11088019B2 (ja)
JP (2) JP7190814B2 (ja)
KR (2) KR20180093798A (ja)
CN (3) CN118099080A (ja)
SG (1) SG10201801132VA (ja)
TW (2) TW202401570A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021511673A (ja) * 2018-01-30 2021-05-06 ラム リサーチ コーポレーションLam Research Corporation パターニングにおける酸化スズマンドレル

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220005694A1 (en) * 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US10861953B2 (en) * 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10700180B2 (en) * 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US10868142B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure and method of forming same
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102526810B1 (ko) * 2018-11-22 2023-04-27 미쓰이 가가쿠 가부시키가이샤 반도체 소자 중간체, 및 반도체 소자 중간체의 제조 방법
CN109437278A (zh) * 2018-12-04 2019-03-08 复旦大学 一种基于氧化铜-氧化锡核壳纳米线结构的气敏纳米材料、制备工艺及其应用
US10804262B2 (en) 2019-02-22 2020-10-13 International Business Machines Corporation Cointegration of FET devices with decoupling capacitor
US10892328B2 (en) 2019-03-04 2021-01-12 International Business Machines Corporation Source/drain extension regions and air spacers for nanosheet field-effect transistor structures
US11798838B2 (en) * 2019-03-19 2023-10-24 Intel Corporation Capacitance reduction for semiconductor devices based on wafer bonding
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process
CN114270266A (zh) * 2019-06-28 2022-04-01 朗姆研究公司 具有多个图案化辐射吸收元素和/或竖直组成梯度的光致抗蚀剂
CN110504482A (zh) * 2019-08-08 2019-11-26 南开大学 固态锂电池石榴石型固态电解质材料的制备及表面处理方法与应用
US11038038B2 (en) * 2019-08-13 2021-06-15 Micron Technology, Inc. Transistors and methods of forming transistors
US10825722B1 (en) * 2019-08-29 2020-11-03 Nanya Technology Corporation Method of manufacturing a semiconductor structure
DE102019133935B4 (de) * 2019-09-30 2022-11-03 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum ausbilden von transistorabstandshal-terstrukturen
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11367778B2 (en) * 2020-03-31 2022-06-21 Taiwan Semiconductor Manufacturing Company Limited MOSFET device structure with air-gaps in spacer and methods for forming the same
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11508615B2 (en) * 2020-07-30 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
JPWO2022044518A1 (ja) * 2020-08-31 2022-03-03
US11374093B2 (en) * 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US20220310819A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US11563105B2 (en) * 2021-04-14 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
CN116453941B (zh) * 2023-06-16 2023-08-22 粤芯半导体技术股份有限公司 一种栅极结构及制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013179127A (ja) 2012-02-28 2013-09-09 National Institute Of Advanced Industrial & Technology 電子デバイスの製造方法及び薄膜トランジスタ

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE758597A (fr) * 1969-11-10 1971-04-16 Western Electric Co Procede de morsure de l'oxyde d'etain
JPS5165366A (ja) * 1974-12-03 1976-06-05 Sharp Kk Sankabutsutomeidodenmakuno etsuchinguhoho
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) * 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) * 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
JPS62179774A (ja) 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) * 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
JP3001894B2 (ja) * 1988-09-30 2000-01-24 グンゼ株式会社 多層薄膜素子のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) * 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) * 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
RU2053584C1 (ru) * 1992-05-26 1996-01-27 Научно-исследовательский институт измерительных систем Способ формирования топологического рисунка пленки диоксида олова
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) * 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
TW365029B (en) * 1995-09-28 1999-07-21 Mitsui Chemicals Inc Dry processing gas
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
TW387111B (en) * 1997-08-08 2000-04-11 Mitsui Chemicals Inc Dry etching method
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
JPH11111679A (ja) * 1997-10-07 1999-04-23 Mitsui Chem Inc 反応性イオンエッチング装置および反応性イオンエッチング方法
GB9726511D0 (en) * 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) * 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
WO2001082355A2 (en) 2000-04-25 2001-11-01 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6580475B2 (en) * 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) * 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
FR2821862B1 (fr) * 2001-03-07 2003-11-14 Saint Gobain Procede de gravure de couches deposees sur des substrats transparents du type substrat verrier
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
JP2003068155A (ja) * 2001-08-30 2003-03-07 Ulvac Japan Ltd 透明導電性膜のドライエッチング方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
AU2003275615A1 (en) 2002-11-01 2004-05-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
JP4655939B2 (ja) 2004-02-09 2011-03-23 旭硝子株式会社 透明電極の製造方法
US7355672B2 (en) * 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) * 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) * 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7372058B2 (en) * 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
JP4609335B2 (ja) 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
WO2009066750A1 (ja) 2007-11-22 2009-05-28 Idemitsu Kosan Co., Ltd. エッチング液組成物
CN101889325B (zh) * 2007-12-06 2014-05-07 因特瓦克公司 用于衬底的两侧溅射蚀刻的系统和方法
US8247315B2 (en) * 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN103456794B (zh) * 2008-12-19 2016-08-10 株式会社半导体能源研究所 晶体管的制造方法
CN102428544B (zh) 2009-05-20 2014-10-29 株式会社东芝 凹凸图案形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
CN103189964A (zh) 2010-11-04 2013-07-03 诺发系统公司 钽的离子诱导原子层沉积
US9111775B2 (en) * 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
DE112013001641T5 (de) * 2012-03-23 2014-12-31 Sanyo Electric Co., Ltd. Solarzelle und Verfahren zum Herstellen einer Solarzelle
US10861978B2 (en) * 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
US8987047B2 (en) * 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
US20150140726A1 (en) * 2012-07-10 2015-05-21 Sharp Kabushiki Kaisha Method for manufacturing semiconductor device
US20140060574A1 (en) 2012-09-04 2014-03-06 Matheson Tri-Gas In-situ tco chamber clean
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
CN103199225B (zh) * 2013-04-23 2018-02-09 上海乾视通信技术有限公司 硅碳负极材料、其制备方法及一种锂离子电池
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9171703B2 (en) 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) * 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US9633867B2 (en) * 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
KR20170135896A (ko) 2015-04-02 2017-12-08 도쿄엘렉트론가부시키가이샤 듀얼 주파수 용량성 결합 플라즈마(ccp)를 사용한 euv 내성이 있는 트렌치 및 홀 패터닝
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6580705B2 (ja) 2015-04-20 2019-09-25 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 大面積多層ナノ構造体の加工
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
KR102399578B1 (ko) * 2015-06-05 2022-05-17 램 리써치 코포레이션 GaN 및 다른 III-V 족 재료들의 원자층 에칭
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
TWI610361B (zh) * 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US20220005694A1 (en) * 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859153B1 (en) * 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) * 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
JP7334166B2 (ja) 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) * 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) * 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013179127A (ja) 2012-02-28 2013-09-09 National Institute Of Advanced Industrial & Technology 電子デバイスの製造方法及び薄膜トランジスタ

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021511673A (ja) * 2018-01-30 2021-05-06 ラム リサーチ コーポレーションLam Research Corporation パターニングにおける酸化スズマンドレル
JP7334166B2 (ja) 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル

Also Published As

Publication number Publication date
KR20200035247A (ko) 2020-04-02
US11637037B2 (en) 2023-04-25
JP2018142698A (ja) 2018-09-13
KR20180093798A (ko) 2018-08-22
CN112242345A (zh) 2021-01-19
TWI815806B (zh) 2023-09-21
CN118099080A (zh) 2024-05-28
US20180233398A1 (en) 2018-08-16
JP7483839B2 (ja) 2024-05-15
US11088019B2 (en) 2021-08-10
US20210343579A1 (en) 2021-11-04
JP2023027173A (ja) 2023-03-01
TW202401570A (zh) 2024-01-01
CN108493152B (zh) 2024-03-08
CN108493152A (zh) 2018-09-04
TW201841258A (zh) 2018-11-16
US20200219758A1 (en) 2020-07-09
SG10201801132VA (en) 2018-09-27

Similar Documents

Publication Publication Date Title
JP7190814B2 (ja) エアギャップの形成方法
KR102447088B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
US20210265173A1 (en) Tin oxide mandrels in patterning
KR102643106B1 (ko) 교번하는 에칭 및 패시베이션 프로세스
JP2023517291A (ja) モリブデンの原子層エッチング
TWI838003B (zh) 半導體裝置製造中之氧化錫膜

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200828

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220729

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221206

R150 Certificate of patent or registration of utility model

Ref document number: 7190814

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150