JP2018182322A - 原子層エッチングのリセットを伴う選択的堆積 - Google Patents

原子層エッチングのリセットを伴う選択的堆積 Download PDF

Info

Publication number
JP2018182322A
JP2018182322A JP2018075109A JP2018075109A JP2018182322A JP 2018182322 A JP2018182322 A JP 2018182322A JP 2018075109 A JP2018075109 A JP 2018075109A JP 2018075109 A JP2018075109 A JP 2018075109A JP 2018182322 A JP2018182322 A JP 2018182322A
Authority
JP
Japan
Prior art keywords
substrate
deposition
chamber
deposited
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018075109A
Other languages
English (en)
Other versions
JP2018182322A5 (ja
Inventor
カプー・シリッシュ・レディ
Sirish Reddy Kapu
メリハ・ゴズデ・レインビル
Gozde Rainville Meliha
ナグラジュ・シャンカー
Shankar Nagraj
デニス・エム.・ハウスマン
M Hausmann Dennis
デビッド・チャールズ・スミス
charles smith David
カーシク・シバラマクリシュナン
Sivaramakrishnan Karthik
デビッド・ダブリュ.・ポーター
W Porter David
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018182322A publication Critical patent/JP2018182322A/ja
Publication of JP2018182322A5 publication Critical patent/JP2018182322A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】選択的堆積プロセス中における成長選択性を維持するとともに欠陥排除パフォーマンスを向上させる。【解決手段】基板は、複数の基板材料を有し、各材料は、上に堆積される材料に対応して異なる核生成遅延を有する。上への堆積が意図される第1の基板材料に関係付けられた核生成遅延は、堆積の進行に伴って低下する核生成遅延差異にしたがって、上への堆積が意図されない第2の基板材料に関係付けられた核生成遅延よりも少ない。堆積された材料の一部分が、第1の基板材料と第2の基板材料との間の核生成遅延差異を再確立するためにエッチングされる。材料は、基板上に更に選択的に堆積される。【選択図】図2

Description

半導体基板の特徴サイズの縮小に伴って、デバイス縮小を助けるとともに三次元構造を可能にするための処理技術の必要性が増している。これに関し、原子層堆積(ALD)や原子層エッチング(ALE)などの原子スケールの処理が有用な技術であることがわかっている。しかしながら、継続的な半導体デバイスの微細化及び欠陥の低減に対する挑戦が残っている。
提供されるのは、半導体基板上に選択的に材料を堆積させることによって基板上への堆積を行うための方法である。基板は、複数の基板材料を有し、各材料は、上に堆積される材料に対応して異なる核生成遅延を有する。具体的には、堆積の進行に伴って核生成遅延差異は低下するので、上への堆積が意図される第1の基板材料に関係付けられた核生成遅延は、上への堆積が意図されない第2の基板材料に関係付けられた核生成遅延よりも少ない。堆積された材料の一部分が、第1の基板材料と第2の基板材料との間の核生成遅延差異を再確立するためにエッチングされる。材料は、基板上に更に選択的に堆積される。
エッチングは、サイクル単位で実施され、一サイクルは、基板の表面を改質するために基板をエッチングガスに暴露し、改質された表面の少なくとも一部を除去するために基板を除去用ガスに暴露する、ことを含む。エッチングガスへの基板の暴露は、更に、プラズマを着火することを含んでいてよい。一部の実施形態では、方法は、更に、基板にバイアスを印加することを含む。エッチングガスは、塩素含有化合物であってよい。各種の実施形態では、一サイクルが、約1Åから約50Åの間の堆積材料及び/又は膜をエッチングする。チャンバは、暴露と暴露との間でパージされてよい。
一堆積サイクルは、基板の表面を改質するために基板を堆積前駆体に暴露し、膜を堆積させるために基板を還元剤に暴露する、ことを含んでいてよい。一部の実施形態では、方法は、更に、プラズマを着火することを含む。一部の実施形態では、堆積前駆体への基板の暴露中に、堆積前駆体の少なくとも一部が基板の表面上に吸着する。チャンバは、暴露と暴露との間でパージされてよい。
一部の実施形態では、エッチングと堆積とが、同じチャンバ内で実施される。エッチングは、非共形(他の層の凹凸に沿わない)方式で実施されてよい。一部の実施形態では、エッチング又は堆積の少なくとも一方が、自己制限反応である。
別の一態様は、(a)チャンバに収容されている基板を、基板を覆う膜を堆積させるために第1の反応剤と第2の反応剤との交番パルスに暴露し、基板は、上への膜の堆積が意図される第1の基板材料と、上への膜の堆積が意図されない第2の基板材料とを有し、第2の基板材料は、第1の基板材料とは異なり、第1の基板材料の場合の核生成遅延は、堆積の進行によって低下する核生成遅延差異にしたがって、第2の基板材料の場合の核生成遅延よりも少なく、(b)チャンバに収容されている基板を、堆積された材料の一部分をエッチングして第1の基板材料と第2の基板材料との間の核生成遅延差異をリセットするためにエッチングガスと除去用ガスとの交互バルスに暴露する、ことを含む方法に関わる。一部の実施形態では、(a)と(b)とが、真空を破ることなく同じチャンバ内で実施されてよい。一部の実施形態では、(a)と(b)とが、十分な最終的堆積が起きるまで繰り返されてよい。
除去用ガスは、N2と、Arと、Heと、Neとからなる群より選択されたキャリアガスであってよい。一部の実施形態では、(a)と(b)とが、同じチャンバ内で順次実施される。更に、チャンバは、パルスとパルスとの間でパージされてよい。各種の実施形態では、(a)は、更に、基板にバイアスを印加することを含む。一部の実施形態では、方法は、基板を除去用ガスに暴露するときにプラズマを着火することも含む。方法は、また、基板を第2の反応剤に暴露するときにプラズマを着火することも含んでいてよい。
各種の実施形態では、(a)又は(b)の少なくとも一方が、自己制限反応である。一部の実施形態では、基板上に材料を堆積させるために、(a)と(b)とが繰り返される。一部の実施形態では、基板上の膜をエッチングするために、(a)と(b)とが繰り返される。各種の実施形態では、基板は、金属と誘電体とからなる群より選択される。
別の一態様は、基板を処理するための装置に関わり、該装置は、それぞれがチャックを有する1つ以上の処理チャンバと、処理チャンバ内へ通じる1つ以上のガス入口、及び関連の流量制御ハードウェアと、プロセッサ及びメモリを有するコントローラと含み、プロセッサ及びメモリは、通信可能方式で互いに接続され、少なくとも1つのプロセッサは、少なくとも動作可能方式で流量制御ハードウェアに接続され、メモリは、上に堆積される材料に対応して異なる核生成遅延を核生成遅延差異にしたがって有する複数の基板材料を含む半導体基板上に選択的に材料を堆積させ、基板上に堆積された材料の一部分を、基板材料間の核生成遅延差異を再確立するためにエッチングし、材料を、基板上に更に選択的に堆積させる、ことによって流量制御ハードウェアを少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を格納する。
別の一態様は、基板を処理するための装置に関わり、該装置は、それぞれがチャックを有する1つ以上の処理チャンバと、処理チャンバ内へ通じる1つ以上のガス入口、及び関連の流量制御ハードウェアと、プロセッサ及びメモリを有するコントローラと含み、プロセッサ及びメモリは、通信可能方式で互いに接続され、少なくとも1つのプロセッサは、少なくとも動作可能方式で流量制御ハードウェアに接続され、メモリは、チャンバに収容されている基板を、その基板を覆う膜を堆積させるために第1の反応剤と第2の反応剤との交番パルスに暴露し、基板は、上への膜の堆積が意図される第1の基板材料と、上への膜の堆積が意図されない第2の基板材料とを有し、第2の基板材料は、第1の基板材料とは異なり、第1の基板材料の場合の核生成遅延は、堆積の進行によって低下する核生成遅延差異にしたがって、第2の基板材料の場合の核生成遅延よりも少なく、チャンバに収容されている基板を、堆積された材料の一部分をエッチングして第1の基板材料と第2の基板材料との間の核生成遅延差異をリセットするためにエッチングガスと除去用ガスとの交互バルスに暴露する、ことによって流量制御ハードウェアを少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を格納する。
これらの及びその他の特徴が、添付の図面を参照にして以下で更に説明される。
開示される実施形態にしたがった方法のための動作を示した工程図である。
開示される実施形態にしたがった方法のための動作を示した別の工程図である。
エッチング及び堆積の説明図である。
開示される実施形態にしたがった方法のための動作を示した別の工程図である。
交互する堆積サイクルとエッチングサイクルとを示したグラフである。
様々な基板表面上で観察される核生成遅延を示したグラフである。
開示される実施形態を実施するための代表的な処理チャンバの説明図である。
開示される実施形態を実施するための代表的な処理チャンバの、別の説明図である。
開示される実施形態を実施するための代表的な処理装置の、更に別の説明図である。
開示される実施形態を実施するための代表的な処理装置の、また別の説明図である。
以下の説明では、提示される実施形態の完全な理解を可能にするために、数々の具体的詳細が特定される。開示される実施形態は、これらの具体的詳細の一部又は全部を伴わずとも実施されえる。また、開示される実施形態を不必要に不明瞭にしないために、周知のプロセス動作は、詳細に説明されていない。開示される実施形態は、具体的な実施形態との関連で説明されるが、これは、開示される実施形態を制限することを意図していないことが理解される。
特徴サイズの縮小に伴って、原子層堆積(ALD)及び原子層エッチング(ALE)などの原子スケールの処理の必要性が増している。これらは、膜厚の段階的な細かい変化をもたらす名目上自己制限的な工程からなる循環プロセスである。これらのプロセスは、比較的滑らかで共形性であること、及び特定のALEプロセスにおける指向性によって特徴付けられる。
本書で提供されるのは、選択的堆積プロセス中における成長選択性を維持するとともに欠陥排除パフォーマンスを向上させるために、最適化された選択的堆積プロセスをALEなどの制御式のエッチングと組み合わせることに関する。
半導体基板上への選択的堆積は、ALDプロセスとALEプロセスとを定期的に交替させることによって達成されえる。選択的堆積は、例えばウエハをその底部から上方へ構築することによって、継続的なデバイスの微細化と各種三次元(3D)構造の製作とを可能にする。選択的堆積による更なる利点として、統合フローが簡略化される(すなわち、別途のリソグラフィプロセス及び/又はエッチングプロセスを必要としない)こと、特徴の密度及び/又は拡大縮小が(すなわち、より小さい断面積内に更に多くのデバイス特徴が含まれえるように)強化されること、並びにパターン形成が強化される(すなわち、重ね合わせが向上される)ことがある。
しかしながら、選択的堆積のための現行の市販の方法は、多くの場合、材料及び/又はシステムに結び付いており、したがって、金属及び/又は誘電材料を自在に選択的に堆積させるための一般化された方法がない。それ以外で選択的堆積に関係するその他の課題は、欠陥の形成、及び比較的狭いプロセス窓の必要性である。通常の堆積プロセスは、核生成遅延を評価する能力に限りがあるので、及び/又は材料を選択的に成長させられないので、堆積選択性が、堆積の進行に伴って悪化する恐れもある。更に、堆積選択性のこのような悪化は、選択的堆積を通じた比較的厚い膜の成長を困難にする。
更に、選択的堆積は、開始表面(すなわち、半導体基板及び/又はウエハ)が精確でない及び/又は理想的でないゆえに欠陥を生じるかもしれず、その結果、そのような望ましくない表面上に、残留堆積材料が欠陥として形成される及び/又はそれ以外の形で見られる恐れがある。
開示される方法は、例えば2種類以上の異なる材料のそれぞれの核生成遅延間で観察される核生成遅延差異に応じて又はそのような核生成遅延差異をそれ以外のやり方で使用することによって差別的成長を得るための、選択的堆積プロセスを提供する。すなわち、堆積されている材料の堆積が第2の基板材料上よりも第1の基板材料上で容易に起きるという事実を活用することによって第2の基板材料上と比べて第1の基板材料上への選択的堆積を達成するように、堆積プロセスがあつらえられる。上に堆積が選択的に起きる基板は、上に堆積が選択的に起きない基板材料よりも、堆積されている材料に対する核生成遅延が低く、すなわち少なく、したがって、2つの基板材料のそれぞれに関係付けられた核生成遅延間には差があり、この差は、核生成遅延差異と呼ばれる。しかしながら、選択的堆積の進行に伴って、堆積選択性は悪化することがわかっている。これを修正するためには、核生成遅延差異をリセットし及び/又は再確立して、そうでなければ制限されるだろうプロセス窓を工業用途に関連してその後も拡大可能にするために、高度に制御されるALEプロセスが成長プロセスと組み合わせて使用できることがわかっている。
選択的堆積をALEなどの制御式エッチングと組み合わせることの更なる利点として、誘電材料又は同様な基板材料上に蓄積された望ましくない残留金属などの、選択的堆積に結び付いた成長欠陥が、ALE中に排除されることが挙げられる。更に、開示された方法は、基板材料に特有な核生成遅延及び/又はそれらの核生成遅延間で観察される差異(すなわち、核生成遅延差異)を強化する及び/又はそれ以外の形で最適化することによって、材料及び/又は誘電体を自在に選択的に堆積させるように、選択的堆積方式を一般化するのに有用である。開示された方法は、また、同じ基板上で2回以上にわたって選択的堆積が起きることも可能にする。
開示された方法は、選択的堆積が通常起きる、そうでなければ比較的狭いだろうプロセス窓を拡大させるための、制御可能な解決策を提供する。上記のように、最初の選択的堆積は、複数の基板材料で構成された基板上で行われ、この堆積は、上で堆積が選択的に起きない別の基板材料よりも低い、すなわち少ない核生成遅延を有する基板材料上で選択的に起きる。一部の実施形態では、基板を構成している各種の基板材料に関係付けられた核生成遅延は、最適化された化学剤、すなわち表面化学変化や抑制などを生じさせる手段としての化学剤を使用して、差別的成長を保証する及び適用窓を潜在的に拡大させることによって強化されてよい。次に、的を外れた堆積に関係する欠陥を減少させつつ、堆積選択性を強化しそれによってスループットを向上させるために、基板に、ALEなどの制御可能なエッチングプロセスが適用され、上への堆積が想定される特定の基板材料に対する核生成遅延及び/又は抑制がリセットされる、回復される、及び/又はそれ以外の形で再確立される。
リセットする、回復する、又は再確立するという表現は、言及された材料に対する核生成遅延が、選択的堆積の開始時における核生成遅延レベルの1%、2%、5%、10%、20%、30%、40%、50%、60%、70%、80%、若しくは90%、又は1%、2%、5%、10%、20%、30%、40%、50%、60%、70%、80%、若しくは90%以内など、選択的堆積の開始時におけるレベルまで又はその近くまで、総じて大幅に、低減されることを意味する。場合によっては、核生成遅延は、選択的堆積の開始時におけるレベルを超えて(そのレベル未満まで)低減される。その他の実施形態では、核生成遅延差異は、材料に関係した堆積が上で選択的に起きない基板材料に関係付けられた核生成遅延を、例えば核生成遅延の低減に関連して上述されたのと同じ又は類似の程度まで増加させることによって強化されてよい。その他の実施形態では、上に堆積が選択的に起きる材料及び上に堆積が選択的に起きない材料にそれぞれ関係付けられた核生成遅延の低減及び増加の両方が、核生成遅延差異を強化するために使用されてよい。
したがって、ALEプロセスの完了後は、各種の基板上における堆積選択性(各種の基板間の核生成遅延差異)が増すことが期待される。
本書で提供される方法は、例えば望ましくない非成長表面上で見られる残留堆積材料の結果として生じるなどの、選択的堆積プロセスの結果として生じる固有の欠陥に対する解決策を提供する。選択的堆積と組み合わせたALEの使用は、望ましくない表面からのこのような残留堆積材料の除去を可能にし、例えば、金属領域と誘電領域との間に、並びに各種の誘電区域内及び金属区域内に、より優れた分離を形成する。したがって、選択的堆積方式は、こうして同じ半導体基板上で例えばALD工程とALE工程とを循環させて、異なる基板材料間の核生成遅延差異を強化する及び/又は最適化することによって、金属及び/又は誘電体を堆積させえる。
図1は、開示された実施形態にしたがった方法における動作を実施するためのプロセスフローチャートを提供する。図1における動作は、約1ミリトールから約1トールの間を例とする例えば約1ミリトールから約100トールの間のチャンバ圧力で実施されてよい。図1に示された方法は、総じて、半導体基板上で堆積を行うことに関する。具体的には、動作102では、複数の別々の基板材料で構成される又はそれらの材料をそれ以外の形で含む半導体基板が処理チャンバに提供される。
動作102で半導体基板が提供されるチャンバについて言及すると、該チャンバは、マルチチャンバ装置内の一チャンバ、又は単一チャンバ装置であってよい。半導体基板は、上に誘電材料、導電材料、又は半導電材料などの1枚以上の材料層が堆積されたウエハなどの、200mmウエハ、300mmウエハ、又は450mmウエハを例とするシリコンウエハであってよい。一部の実施形態では、基板は、非晶質シリコンなどのシリコンまたははゲルマニウムの、全面を覆うように拡がったブランケット層を含む。基板は、基板上に前もって堆積されてパターン形成されたパターン化マスク層を含んでいてよい。例えば、非晶質シリコンブランケット層を含む基板上に、マスク層が堆積されてパターン形成されてよい。
一部の実施形態では、基板上の層が、パターン形成されてよい。基板は、ビア又はコンタクトホールなどの「特徴」を有してよく、これらは、狭い及び/又は内側に凹んだ開口、特徴内のくびれ、及び高いアスペクト比によって特徴付けられてよい。特徴は、上述された層のうちの1枚以上の中に形成されてよい。特徴の一例が、半導体基板の中の又は基板上の層の中の、ホール又はビアである。別の一例が、基板の中の又は層の中の溝である。各種の実施形態では、特徴は、障壁層又は接着層などの下位層を有してよい。下位層の非限定的な例として、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、及び金属層などを例とする、誘電層及び導電層がある。
一部の実施形態では、特徴は、少なくとも約2:1の、少なくとも約4:1の、少なくとも約6:1の、少なくとも約10:1の、少なくとも約30:1の、又はそれよりも高いアスペクト比を有してよい。高いアスペクト比では、特徴の側壁を保護することが望まれるだろう。開示される方法は、約150nm未満の開口を有する特徴を有する基板に対して実施されてよい。特徴であるビア又は溝は、未充填特徴、又は特徴と呼ばれてよい。特徴は、その底部閉端又は内側から開口に向けて狭まる内側が凹んだプロフィールを有してよい。一部の実施形態では、本書で開示される方法は、これらの特性を有する特徴を形成するために使用されえる。
次に、動作104では、半導体基板を構成する1種類以上の基板材料の上に、例えば後述される図3を参照にして説明されるように選択的に材料が堆積される。これらの基板材料は、核生成遅延差異にしたがって、上に堆積される材料に対応して異なる核生成遅延を有する。例えばタングステン(W)基板などの金属上への、例えばALDによる窒化アルミニウム(AlN)の堆積は、例えばSiO2などの誘電体基板上へのAlNの堆積で観察されるのとは核生成遅延を異ならせるだろう。この核生成遅延差異は、より大きい核生成遅延を有する材料と比べて少ない核生成遅延を有する材料上に選択的堆積を実現するために使用できる。
総じて、ALDは、順次式の自己制限反応を使用して薄い材料層を堆積させる技術である。ALDは、任意の適切な技術を使用して実施されてよい。各種の実施形態では、ALDは、プラズマによって実施されてよい、又は熱的に実施されてよい。また、動作104は、サイクル単位で、すなわち本書において「ALDサイクル」と呼ばれるサイクル単位で実施されてよい。
ALDサイクルの概念は、本書における各種の実施形態の議論に関わる。ALDサイクルは、通常、表面堆積反応を1回実施するために使用される最小動作集合である。例えば、成功した1つのALDサイクルは、その結果、動作104における第1の基板材料などの所望の基板表面上に少なくとも部分シリコン含有膜層を形成させる。通常、一ALDサイクルは、少なくとも1種類の反応剤を基板表面上へ配送して吸着させるための動作と、次いで、吸着された反応剤を基板表面上に残る1種類以上の反応剤と反応させて例えば少なくとも部分膜層を形成させるための動作とを含む。このALDサイクルは、反応剤若しくは副生成物のうちの1つをスイープする(一掃する)、及び/又は堆積された部分膜を処理するなどの、何らかの補助的な動作を含んでいてよい。総じて、一サイクルは、固有な一組の一連の動作を含む。一例として、一ALDサイクルは、(i)シリコン含有前駆体を配送する/吸着させる動作と、(ii)チャンバからシリコン前駆体をパージする動作と、(iii)第2の反応剤及びプラズマを配送する動作と、(iv)プラズマをチャンバからパージする動作とを含んでいてよい。
一部の実施形態では、窒化アルミニウム(AlN)が、ALD動作及び/又はALDサイクルを通じて各種の対象基板上に堆積されてよく、このような基板としては、シリコン酸化物(SiO2)、シリコン窒化物(Si34)、シリコン炭化物(SiC)、酸化アルミニウム(Al23)、及び窒化アルミニウム(AlN)がある(ただし、これらに限定はされない)。また、ALNは、個別に及び/又は組み合わせて、ハフニウム(Hf)、ジルコニウム(Zr)、及び酸化スズ(SnO2)などの一般的な高κ誘電体層上、並びに/又はタングステン(W)、銅(Cu)、コバルト(Co)、アルミニウム(Al)、チタン(Ti)、シリコン(Si)、及び炭素(C)などの導電膜上に堆積されてもよい。
図3は、シリコン酸化物(SiO2)を堆積させるための一ALDサイクルの代表的な説明図を示している。図304a〜304eは、一般的なALDサイクルを示している。304aでは、多数のシリコン原子を含むシリコン基板が提供される。302bでは、酸素ラジカルとして酸素が基板に導入され、これらの酸素ラジカルは、基板の表面を改質する。これが、反応剤及びプラズマの配送であってよい。なお、例として、一部の酸素ラジカルが基板の表面上に吸着されることに留意せよ。304cでは、酸素ラジカルは、チャンバからパージされる。304dでは、シリコン含有前駆体、すなわちシリコン源が導入され、該シリコン源は、基板の表面上に吸着された酸素ラジカルと反応する。304eでは、チャンバはパージされ、副生成物は除去され、SiO2の堆積層が残される。
堆積材料としてのSiO2の選択に代わり、一部の実施形態では、(Al)及び/又は銅(Cu)などの金属が、動作104a中に、堆積が意図される第1の基板表面上にALDを通じて堆積される。一部の実施形態では、第1の基板表面は、実質的に窒化アルミニウム(AlN)で構成されてよい。更に、トリメチルアルミニウム(Al2(CH36)が、例えば次の核生成遅延が観察される実質的にAlNを含む基板上に堆積させるための堆積材料として必要とされるAlを供給するための適切な前駆体を提供してよい。具体的には、Al2(CH36は、比較的制御されたやり方で、例えば250℃から350℃にかけての温度で堆積されてよい。350℃より上では、分解が観察される。
一部の実施形態では、ALDによって堆積される膜は、共形性が高いだろう。膜の共形性は、ステップ・カバレージ(回り込み率)によって測定されてよい。ステップ・カバレージは、特徴の底部、側壁、又は頂部に堆積された膜の平均厚さを、特徴の底部、側壁、又は頂部に堆積された膜の平均厚さと比較することによって算出されてよい。例えば、ステップ・カバレージは、側壁に堆積された膜の平均厚さを特徴の頂部に堆積された膜の平均厚さで割り、それに100を掛けて百分率を得ることによって算出されてよい。
化学気相成長(CVD)技術と異なり、ALDプロセスは、膜を一層ずつ堆積させるために、表面介在性の堆積反応を使用する。ALDプロセスの一例では、基板を収容している処理チャンバに提供される1回の投入において、表面活性部位の集中を含む基板表面が、シリコン含有前駆体などの第1の前駆体の気相分布に暴露される。この第1の前駆体の分子は、基板表面上に吸着されて、第1の前駆体の化学吸着種及び/又は物理吸着分子を含む。なお、本書で説明されるように基板表面上に化合物が吸着されるときは、吸着された層が上記化合物はもちろん上記化合物の誘導体も含みえることが、理解されるべきである。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体はもちろんシリコン含有前駆体の誘導体も含みえる。特定の実施形態では、ALDにおける前駆体の導入が、基板表面を部分的に飽和させる。一部の実施形態では、ALDサイクルの投入段階は、基板表面を均等に飽和させるために、前駆体が基板に接触する前に終結する。通常、前駆体の流れは、この時点でオフにされ又は方向転換され、パージガスのみが流れる。この亜飽和レジームで動作することによって、ALDプロセスは、サイクル時間を短縮し、スループットを増加させる。しかしながら、前駆体の吸着は、飽和制限されないので、吸着される前駆体の濃度は、基板表面の場所によって僅かに異なるだろう。亜飽和レジームで動作するALDプロセスの例が、2013年10月23日に出願され名称を「SUB−SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(亜飽和原子層堆積及び共形膜堆積)」とする米国特許出願第14/061,587号で提供されている。この出願は、参照によってその全体を本明細書に組み込まれる。第1の前駆体の投入後、リアクタは、次いで、気相内に残留しているあらゆる第1の前駆体を除去するように排気され、したがって、吸着種のみが残留する。酸素含有ガス又は窒素含有ガスなどの第2の反応剤が、リアクタに導入され、それらの分子の一部が、表面上に吸着された第1の前駆体と反応する。プロセスによっては、第2の前駆体は、吸着された第1の前駆体と直ちに反応する。その他の実施形態では、第2の前駆体は、活性化源が一時的に適用された後にのみ反応する。リアクタは、次いで、未結合の第2の前駆体分子を除去するように再び排気されてよい。膜の厚みを構築するために、更なるALDサイクルが使用されてよい。
一部の実施形態では、ALD方法は、第2の反応剤がチャンバに配送されるときなどのプラズマ活性化を含む。本書で説明されるALDの方法及び装置は、共形膜堆積(CFD)方法であってよく、これらは、総じて、2011年4月11日に出願され名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許出願第13/084,399(今現在の米国特許第8,728,956号)及び2011年4月11日に出願され名称を「SILICON NITRIDE FILMS AND METHODS(シリコン窒化物膜及び方法)」とする米国特許出願第13/084,305号で説明されている。これらは、参照によってその全体を本書に組み込まれる。ALDプロセスの更なる例が、Puurunen, “Surface chemistry of atomic layer deposition: for the trimethylaluminum/water process(原子層堆積の表面化学:トリメチルアルミニウム/水プロセスの場合)”, 97 J. Applied Physics 12301 (2005)で説明されており、該文献は、適切なALDプロセスについての説明を提供する目的で、参照によって本書に組み込まれる。
具体的には、一部の実施形態では、動作104において、例えばALDによる上への堆積が想定される第1の半導体基板材料上に、薄い膜及び/又は材料が堆積される。各種の実施形態では、動作104において、シリコン含有材料及び/又はシリコン含有膜が堆積される。シリコン含有膜の例として、シリコン酸化物、シリコン酸窒化物、及びシリコン窒化物がある。一部の実施形態では、金属膜又は金属含有膜が堆積されてよい。更に、一部の実施形態では、必要に応じて動作102〜108が循環するように、動作104が、動作106に先立って実施されてよい。或いは、一部の実施形態では、動作106が、動作104に先立って実施されてよい。
更に、動作104において、一部の実施形態では、N2、Ar、Ne、He、及びこれらの組み合わせなどのキャリアガスが、継続的に流されてよい。キャリアガスは、パージガスとして使用されてよい。不活性ガスは、処理チャンバの圧力制御及び/若しくは温度制御、液体反応剤の蒸発、より迅速な反応剤の配送を助けるために、並びに/又は処理ガスを処理チャンバ及び/若しくは処理チャンバ配管から除去するためのスイープガスとして、提供されてよい。
本書で提供されるのは、動作104で実施されえる吸着動作及び第2の反応剤配送動作の一例である。ALDサイクルの吸着動作では、上へのALDが意図される基板が、基板表面上に吸着させるためのシリコン四塩化物などの膜前駆体に暴露されてよい。一部の実施形態では、膜前駆体は、シリコン含有前駆体であってよい。一部の実施形態では、SiCl4などの膜前駆体は、基板表面上の約60%に吸着されえる。各種の実施形態では、膜前駆体がチャンバへ流されるときに、その膜前駆体は、基板の表面上の活性部位上に吸着し、表面上に薄い膜前駆体層を形成する。各種の実施形態では、この層は、単分子層未満であってよい。
吸着後、チャンバは、基板の表面上に吸着されなかった気相内の余分な前駆体を除去するために、必要があればパージされてよい。パージは、スイープガスを伴ってよく、該ガスは、その他の動作で使用されるキャリアガスであってよい、又は異なるガスであってよい。一部の実施形態では、パージは、チャンバを排気することを伴ってよい。
ALDサイクルの第2の反応剤配送動作では、基板は、第2の反応剤に、及び必要があればプラズマに暴露されてよい。各種の実施形態では、第2の反応剤は、酸素(O2)又は窒素(N2)又はこれらの組み合わせである。シリコン酸化物層が堆積される一部の実施形態では、第2の反応剤として酸素が使用される。一部の実施形態では、第2の反応剤の流れと、プラズマとが、ともにオンにされる。一部の実施形態では、例えば第2の反応剤の流れが安定することを可能にするために、プラズマをオンにする前に第2の反応剤の流れがオンにされてよい。
一部の実施形態では、随意のプラズマは、チャンバ内でプラズマが基板表面の真上に形成されるように、in−situプラズマである。各種の実施形態では、プラズマは、誘導結合プラズマ又は容量結合プラズマであってよい。誘導結合プラズマは、約50Wから約2000Wの間でプラズマに設定されてよい。一部の実施形態では、約0Vから約500Vの間でバイアスが印加されてよい。第2の反応剤の配送中、SiCl4などの膜前駆体は、オフにされる。基板は、基板表面上に吸着された全ての前駆体とプラズマとが相互に作用して基板表面の上に連続した膜を形成するための時間を超える持続時間にわたって第2の反応剤及び随意のプラズマに暴露されてよい。
第2の反応剤配送動作後、チャンバは、キャリアガス又は不活性ガスを導入するなどによってパージされてよい。この動作のための条件は、パージプロセスについて上述されたうちの任意であってよい。
各種の実施形態では、ALDサイクルが繰り返されてよい。例えば、ALDのための動作は、堆積膜及び/又は材料層が十分に成長されるまで、約5から約70のサイクル、ひいては最多で300のサイクルにわたって実施されてよい。したがって、所望の膜厚の堆積膜を堆積させるために、任意の適切な数の堆積サイクルが含められてよい及び/又は実施されてよい。一部の実施形態では、一ALDサイクルが、一サイクルあたり1Åを堆積させえる。動作の暴露時間に応じて、各サイクルは、約0.05Åから約5Åの間の厚さを有するシリコン酸化物膜又はシリコン酸窒化物膜などの膜を堆積させえる。一部の実施形態では、1分あたり約2又は約3のALDサイクルが実施されてよい。一部の実施形態では、1分あたり約3を超えるサイクルが、基板の近くに入口が配置されたチャンバ内などで実施されてよい。
一部の実施形態では、ALD動作によって、同じチャンバ内で起きえる後続のALEプロセスにおける横方向エッチングから特徴を保護することができる共形膜が形成される。一部の実施形態では、ALDは、特徴の隅に膜を堆積させてそれらの隅をエッチングプロセス中における浸食から保護するなど基板上に選択的に膜を堆積させるために統合される。一部の実施形態では、動作104及び動作106の少なくとも一方が、自己制限反応である。一部の実施形態では、動作104及び動作106の少なくとも一方が、可能な限り自己制限反応である。例えば、一部の実施形態では、動作106のみが、自己制限プロセスである。一部の実施形態では、動作104のみが、自己制限プロセスである。一部の実施形態では、動作104及び動作106の両方が、自己制限的である。各種の実施形態では、動作104及び動作106は、順次実施されてよい。これらの動作を順次実施する例が、図3に関連して更に後述される。
図6で更に説明されるように動作104では、第1の基板材料に関係付けられた核生成遅延が観察される。具体的には、図6に示されるように、窒化アルミニウム(AlN)などの各種の物質が、半導体基板上に堆積され、この半導体基板は、タングステン(W)若しくは銅(Cu)などの金属、シリコン酸化物(SiO2)、ハフニウム(Hf)若しくはジルコニウム(Zr)などの誘電体、又は錫酸化物(SnO2)で実質的に構成されてよい。一部の実施形態では、図6で観察され示されるように、上記の基板材料のうちの任意の1種類以上の材料上への、トリメチルアルミニウム(Al2(CH36)からのアルミニウム(Al)の堆積は、膜を大幅に成長させるだろう。
図6に示されるように、2種類の金属、すなわちWとCuとの間で観察される核生成遅延は、例えばW又はCuのいずれかとSnO2との間などの金属と誘電体との間よりも、大幅に少ない。更に、動作104におけるALDの観察では、金属基板表面が総じて誘電体表面よりも速く前駆体の分解に触媒作用を及ぼすことが示されるだろう。
更に、堆積チャンバの中で、すなわち、堆積対象とされる材料及び/又は物質に、トリメチルアルミニウム(Al2(CH36)前駆体から導出されるアルミニウム(Al)などの堆積材料を注意深く暴露する及び/又は取り扱うことによって、例えば上への堆積が想定される誘電表面上における材料の分解前に、その材料を成功裏に堆積させることが可能になるだろう。それにもかかわらず、一部の実施形態では、完全に還元された且つ/又は低温にあるW表面上又はCu表面上で、反対の核生成挙動が観察されることがある。また、Al2(CH36は、予測可能な形で、すなわち「滑らかに」、ヒドロキシル基に富む表面と反応する傾向があることが観察されることがある。更に、一部の実施形態では、既知の方法を通じて所望の核生成遅延が得られることがあり、したがって、このような方法に関する更なる議論は省略される。
更に、一部の実施形態では、動作104では、上への堆積が意図されない半導体基板の第2の基板材料上で、すなわち、概ね上述された第1の基板材料上への堆積が起きるのと同じチャンバの中で、堆積が選択的に回避されえる。
動作104に続いて、動作106では、基板上に堆積された材料の一部分が、異なる基板材料間の核生成遅延差異を再確立するためにエッチングされる。具体的には、動作106では、基板は、チャンバ内でALEによってエッチングされる。ALEは、順次式の自己制限反応を使用して薄い材料層を除去する技術である。総じて、ALEは、任意の適切な技術を使用して実施されてよい。原子層エッチング技術の例が、2014年11月11日に発行された米国特許第8,883,028号及び2014年8月19日に発行された米国特許第8,808,561号で説明されており、これらは、代表的な原子層エッチング及びエッチング技術を説明する目的で参照によって本書に組み込まれる。各種の実施形態では、ALEは、プラズマによって実施されてよい、又は熱的に実施されてよい。
図1に示されるように、動作106は、サイクル単位で実施されてよい。ALEサイクルの概念は、本書における各種の実施形態の議論に関わる。総じて、ALEサイクルは、単分子層のエッチングなどのエッチングプロセスを1回実施するために使用される最小動作集合である。1つのサイクルの結果は、基板表面上の膜層の少なくとも一部がエッチングされることである。通常、ALEサイクルは、反応槽を形成するための改質動作と、その後に続く、この改質された層のみを除去する又はエッチングするための除去動作とを含む。サイクルは、反応剤若しくは副生成物のうちの1つをスイープするなどの、何らかの補助的な動作を含んでいてよい。総じて、一サイクルは、固有な一組の一連の動作を含む。一例として、一ALEサイクルは、(i)反応剤ガスを配送する動作と、(ii)チャンバから反応剤ガスをパージする動作と、(iii)除去用ガス及び随意のプラズマを配送する動作と、(iv)チャンバをパージする動作とを含んでいてよい。一部の実施形態では、エッチングが非共形的に実施されてよい。
必要に応じた動作106におけるエッチングの完了後、動作108では、半導体基板上に更に選択的に材料が堆積される。このような選択的堆積は、通常、動作104及び動作106などが成功裏に完了した結果として強化された選択性からの恩恵を受ける。
一部の実施形態では、動作102及び動作104は、必要に応じて随意に繰り返されてよく、動作106及び動作108もまた、同様である。更には、動作104が、ALDによって完了されてよい一方で、動作106は、例えばALEによって完了されてよい。また、動作102〜108は、所望の半導体特徴プロフィールが実現されるまで、無期限で繰り返されてよい。
更に、一部の実施形態では、上への堆積が意図される第1の基板材料に関係付けられた核生成遅延は、上への堆積が意図されない第2の基板材料に関係付けられた核生成遅延よりも少ない。そして、一部の実施形態では、核生成遅延差異は、堆積の進行に伴って、すなわち動作104の完了によって低下する。
次に、図2を参照すると、本書で開示されるような方法の動作を実施する別の一実施形態のためのプロセスフローチャートが示されている。一部の実施形態では、図2によって例示されたプロセスフローは、図1によって示されたプロセスフローと実質的に同様に起きえるので、同じことの冗長な説明は省略される。
図2の動作206a及び/又は206bを参照すると、動作206aでは、図6で更に例示されるように、核生成遅延ND1が、第1の基板材料に関係付けられるものとして観察される。
具体的には、図6に示されるように、2種類の材料、すなわちWとCuとの間で観察される核生成遅延は、例えばW又はCuのいずれかとSiO2との間などの金属と誘電体との間よりも、大幅に少ない。更に、動作206aで行われる、動作204aにおけるALDの観察では、金属基板表面が総じて誘電体表面よりも速く前駆体の分解に触媒作用を及ぼすことを示されるだろう。
図2に戻り、動作204bでは、上への堆積が意図されない半導体基板の第2の基板材料上で、すなわち、概ね上述された第1の基板材料上への堆積が起きるのと同じチャンバの中で、堆積が選択的に回避されえる。したがって、動作206bでは、核生成遅延ND2が観察されるだろう。一部の実施形態では、動作208に示されるように、ND2とND1との間で核生成遅延差異ΔNDが計算されえるように、第1の基板上におけるALDに関係付けられた核生成遅延ND1は、第2の基板材料に関係付けられた核生成遅延ND2よりも少なくてよい。更に、一部の実施形態では、ΔNDは、例えば動作204aで示されるようにALDが進行するのに伴って、ゼロ(0)に近づくだろう。
動作208における、核生成遅延差異ΔNDの計算に続いて、動作204aで第1の基板材料上に堆積された材料の一部分及び/又は動作204bで第2の基板材料上に堆積された材料の一部分が、ΔNDをリセットする及び/又はそれ以外の形でΔNDを再確立するために例えばALEによってエッチングされる。
動作210では、基板は、例えばチャンバ内におけるALEのサイクルを1回以上にわたって実施することによってエッチングされる。図3は、図1及び図2に例示されたプロセスを実行するために使用されえるALEサイクルの、2つの代表的な説明図を示している。図の300a〜300eは、一般的なALEサイクルを示している。300aでは、基板が提供される。300bでは、基板の表面が改質される。300cでは、次の工程が準備される。300dでは、改質された層がエッチングされている。300eでは、改質された層が除去される。同様に、302a〜302eは、シリコン膜をエッチングするためのALEサイクルの一例を示している。302aでは、シリコン基板が提供され、該基板は、多数のシリコン原子を含む。302bでは、基板の表面を改質する反応剤ガスである塩素が基板に導入される。302bにおける例示は、一部の塩素が基板の表面上に吸着されることを一例として示している。図3には塩素が描かれているが、任意の塩素含有化合物又は適切な反応剤が使用されてよい。302cでは、反応剤ガスである塩素が、チャンバからパージされる。302dでは、Ar+プラズマ種及び矢印によって示されるように、除去用ガスであるアルゴンが、指向性プラズマとともに導入され、改質された基板表面を除去するために、イオン衝撃が実施される。この動作中は、イオンを基板に向かって惹き付けるために、基板にバイアスが印加される。302eでは、チャンバはパージされ、副生成物は除去される。
一サイクルは、約0.1nmから約50nmの材料、又は約0.1nmから約5nmの材料、又は約0.2nmから約50nmの材料、又は約0.2nmから約5nmの材料のみを、部分的にエッチングしえる。一サイクルでエッチングされる材料の量は、ALDとの統合の目的次第であってよい。例えば、もし、ALEが、側壁を保護するために統合されるならば、ALEサイクルでエッチングされる量は、ALDの実施が特徴の底部における露出した側壁を保護するように可変であってよい。一部の実施形態では、ALEの一サイクルが除去しえるのは、材料の単分子層未満である。
図1の動作110では、エッチング化学剤がチャンバ内に導入される。本書で説明されるように、プラズマを使用した原子層エッチングを伴う一部の実施形態において、材料がチャンバ内に導入される動作では、リアクタ又はチャンバは、基板又はウエハの処理に先立ってチャンバに化学剤を導入することによって安定化されてよい。チャンバの安定化は、安定化に続く動作で使用される化学剤と同じ流量、圧力、温度、及びその他の条件を使用してよい。一部の実施形態では、チャンバの安定化は、異なるパラメータを伴ってよい。一部の実施形態では、動作110中に、N2、Ar、Ne、He、及びこれらの組み合わせなどのキャリアガスが継続的に流される。一部の実施形態では、キャリアガスは、除去中にのみ使用される。キャリアガスは、後述のような一部の動作では、パージガスとして使用されてよい。一部の実施形態では、改質された層を除去するために、動作110中に、酸素などの別の反応剤ガスが使用される。一部の実施形態では、キャリアガスは、除去中に流されない。
本書で提供されるのは、図1に示される動作106及び図2に示される動作210で実施されえる改質動作及び除去動作の一例である。改質動作は、後続の除去動作において非改質材料よりも容易に除去される厚さを有する薄い反応性表面層を形成する。改質動作では、塩素をチャンバに導入することによって基板が塩素化されてよい。開示される実施形態では、エッチャント種の一例として塩素が使用されるが、一部の実施形態では、異なるエッチングガスがチャンバ内に導入されることが理解される。選択されえるエッチングガスは、エッチング対象とされる基板のタイプ及び化学的性質に依存する。一部の実施形態では、エッチングプロセスのために、プラズマが着火されてよく、塩素が基板と反応する。一部の実施形態では、塩素は、基板と反応するだろう、又は基板の表面上に吸着されるだろう。各種の実施形態では、塩素は、ガス状でチャンバに導入され、必要があれば、上述されたうちの任意でありえるキャリアガスを伴ってよい。塩素プラズマから生成される種は、基板を収容している処理チャンバの中でプラズマを形成することによって直接的に生成できる、又は基板を収容していない処理チャンバの中で遠隔生成されて、基板を収容している処理チャンバ内へ供給できる。一部の実施形態では、プラズマが使用されず、塩素は、チャンバ内へ熱的に導入されてよい。
一部の実施形態では、プラズマは、誘導結合プラズマ又は容量結合プラズマであってよい。誘導結合プラズマは、約50Wから約2000Wの間でプラズマに設定されてよい。一部の実施形態では、約0Vから約500Vの間でバイアスが印加されてよい。
一部の実施形態では、改質動作後に、パージが実施されてよい。パージ動作では、表面に結合されていない活性塩素種が、処理チャンバから除去されてよい。これは、吸着された層を除去することなく、処理チャンバをパージ及び/又は排気して活性種を除去することによってなせる。塩素プラズマ内で発生する種は、単純にプラズマを停止させて残りの種の減衰を可能にすることによって除去でき、これは、必要があれば、チャンバのパージ及び/又は排気と組み合わされる。パージは、N2、Ar、Ne、He、及びこれらの組み合わせなどの任意の不活性ガスを使用してなせる。
除去動作では、基板は、指向性エッチングによって基板をエッチングするために、アルゴン又はヘリウムなどのエネルギ源(例えば、活性化ガス若しくはスパッタリングガス、又は除去を誘発する化学的に反応性の種)に暴露されてよい。一部の実施形態では、除去動作は、イオン衝撃によって実施されてよい。除去中は、指向性スパッタリングを促すために、必要があればバイアスがオンにされてよい。一部の実施形態では、ALEは、異方性であってよい。
スパッタリングガスの量は、目標とされる量の材料のみをエッチングするように制御されてよい。各種の実施形態では、チャンバの圧力は、改質動作と除去動作との間で変更されてよい。ガスの圧力は、チャンバのサイズ、ガスの流量、リアクタの温度、基板のタイプ、及びエッチングされる基板のサイズに依存してよい。もし、除去中にバイアスがオンにされるならば、バイアスは、非晶質シリコンなどの柔らかい材料の中に特徴、溝、又はホールをエッチングするために、約50eVに設定されてよい。一部の実施形態では、チャンバは、除去動作後にパージされてよい。パージプロセスは、改質動作後のパージに使用されるプロセスのうちの任意であってよい。
各種の実施形態では、改質動作及び除去動作は、約1サイクルから約30サイクル、又は約1サイクルから約20サイクルのように、サイクル単位で繰り返されてよい。所望の量の膜をエッチングするために、任意の適切な数のALEサイクルが含められてよい。一部の実施形態では、ALEは、基板上の層の表面を約1Åから約50Åエッチングするために、サイクル単位で実施される。一部の実施形態では、ALEのサイクルは、基板上の層の表面を約2Åから約50Åエッチングする。
一部の実施形態では、本書で論じられた説明されたALDプロセス及びALEプロセスは、それぞれ異なるチャンバの中で実施されてよく、基板は、それらのチャンバ間で真空を破ることなく移送される。その他の実施形態では、説明されたALDプロセス及びALEプロセスは、堆積動作とエッチング動作との間で真空が破られることなく同じチャンバの中で実施されてよい。
図2に戻り、動作212では、必要があればバイアスが印加される。バイアスの印加は、側壁をエッチングしえるので、各種の実施形態では、バイアスは、継続的に印加されるのではなくALD及びALEの各種サイクル後に印加されてよい。この動作は、ALDによって堆積された膜を突き破るために実施されてよい。一部の実施形態では、バイアスは、ALDのサイクルによって堆積された層を突き破るために、約80eVに設定される。
動作214は、ΔNDの十分なリセット及び/又は再確立が実施されているかどうかを判定する。もし、ΔNDが十分にリセットされていないならば、動作204a及び/又は動作204bから214までが繰り返されてよい。更に、一部の実施形態では、動作204a及び/又は動作204bから214までは、最初のサイクルのときと同様に繰り返しサイクルでも同じチャンバの中で、すなわち真空を破ることなく実施される。
図4は、開示される実施形態を実行するためのプロセスの更なる説明を提供しており、ALE動作と選択的ALD動作とを組み合わせて1つのプロセスフローにしている。動作400では、チャンバの中に収容された基板が、その表面を改質するためにエッチャント又はエッチングガスに暴露されてよい。これは、「基板改質」動作と呼ばれてよい。この動作中、エッチャントがチャンバへ流される間に、必要があればキャリアガスが流されてよい。キャリアガスは、図1〜3に関連して上述されたキャリアガスのうちの任意であってよい。一部の実施形態では、キャリアガスは、表面改質中に流されない。エッチャントは、それが基板の停止表面のみを改質するように流されてよい。各種の実施形態では、動作400中に、バイアスが印加されてよい。バイアスは、約0Vから約500Vの間の電力で印加されてよい。
図4に戻り、動作402では、除去動作において基板をスパッタリングガスに暴露することによって、基板の改質層が基板から除去されてよく、スパッタリングガスは、キャリアガスと同じであってよい又は異なってよい。各種の実施形態では、この動作において基板表面の少なくとも一部がエッチングされてよい。図2に示された動作210に関連して上で挙げられた例が、これらの動作にしたがって使用されてよい。動作400及び動作402の実施は、一部の実施形態では、1回のALEの実施を構成してよい。一部の実施形態では、動作400及び動作402は、必要があれば1回又は2回以上にわたって(例えば1つ以上のサイクルで)繰り返されてよい。
動作402は、除去段階に相当してよい。一部の実施形態では、一サイクルが、更なる動作を含んでいてよい。除去中は、キャリアガスが、その他のいかなる化学剤も流すことなく流されてよい。キャリアガスは、改質層のそのエッチングに伴うパージの促進を助けるために流されてよい。
動作404では、基板は、第1の反応剤に、それを基板表面上の少なくとも一部に吸着させるために暴露される。この動作は、動作116及び動作118の実施から真空を破ることなく実施されえる。一部の実施形態では、第1の反応剤は、本書で説明されるような第1の前駆体である。各種の実施形態では、第1の反応剤は、基板の活性部位上に吸着されえる。上述された、ALDサイクル中における吸着の例は、この動作に関わる。
動作404は、第1の前駆体暴露段階に相当してよい。この動作中は、第1の前駆体は、随意のキャリアガスとともに流されてよい。なお、この動作中は、以下で更に説明されるエッチャントの流れ及び第2の反応剤の流れが流されなくてよいことに留意せよ。この動作は、基板の表面の少なくとも一部を飽和させるのに十分な持続時間にわたって実施されてよい。一部の実施形態では、表面の少なくとも約40%、又は少なくとも約60%、又は少なくとも約80%、又は少なくとも約100%が飽和されてよい。
一部の実施形態では、第1の前駆体暴露段階の実施後に、基板を収容しているチャンバは、必要があればパージ段階でパージされてよい。このパージ段階中は、基板の表面上に吸着されなかった余分な第1の前駆体を除去するために、キャリアガスが流される。一部の実施形態では、パージ段階は実施されない。
図1Cに戻り、動作406が、基板が第2の反応剤に暴露されるように実施されてよい。各種の実施形態では、第2の反応剤は、還元剤であってよい。第2の反応剤は、吸着された層と反応し、基板上に薄膜を堆積させる。なお、一部の実施形態では、基板の表面上への第1の反応剤の吸着前に動作406が実施されるように、動作404と動作406とが逆に実施されてよいことも留意せよ。
動作406は、第2の反応剤暴露段階に相当してよい。この段階中は、第2の反応剤が流され、必要があればキャリアガスも流される。一部の実施形態では、第2の反応剤は、キャリアガスを伴わずに流される。エッチャントの流れ及び第1の前駆体の流れは、この段階中はオンにされない。各種の実施形態では、第2の反応剤暴露段階は、プラズマを着火することも含んでよい(図には示されていない)。一部の実施形態では、薄い膜層は、プラズマの使用を伴うことなく堆積されてよい。一部の実施形態では、チャンバは、第2の反応剤暴露段階後、必要があればパージ段階中にパージされてよい。パージ段階は、気相中の副生成物及び余分な第2の反応剤をチャンバから除去するために実施されてよい。一部の実施形態では、チャンバはパージされない。
図4の動作404及び動作406は、必要があれば、1回以上にわたって繰り返されてよい。動作404及び動作406の実施は、図1Aに関連して上述されたような一ALDサイクルを構成してよい。各種の実施形態では、動作400〜406が、1回以上にわたって繰り返される。動作400及び動作402の繰り返しサイクルと、動作404及び動作400の繰り返しサイクルとの組み合わせが、更なるサイクルで実施されてよい。例えば、一部の実施形態では、一サイクルが、動作400〜402を1回実施すること、及び/又は動作404〜406を3回実施することを含んでよく、更に、2回以上にわたって繰り返されてよい。一部の実施形態では、動作400〜402及び動作404〜406のサイクルが、順次実施される。例えば、動作400〜402の実施後に動作404〜406が実施される、及び/又はその逆で実施される。一プロセスで使用されるサイクルの頻度及びサイクルの数は、開示される実施形態が使用される用途のタイプ次第であってよい。
図5を参照すると、図2の動作204aで完了したALDなどの堆積と、図2の動作210で完了したALEなどのエッチングとを交互に実施する先進的な手順について評価された膜厚のグラフが提供されている。具体的には、一部の実施形態では、シリコン酸化物(SiO2)などの誘電体上に及び/又は銅(Cu)などの金属上に、ALDを通じて窒化アルミニウム(AlN)が堆積されてよい。或いは、一部の実施形態では、実質的に窒化アルミニウム(AlN)で作成された基板上に、AlN、又はSiO2及び/若しくはCuなどのその他の材料が堆積されてよい。
図5に示されるように、SiO2を含む又はCuを含むいずれかの基板上におけるAlNの堆積は、例えば、上にAlNが堆積される基板、すなわちSiO2又はCuに相対的に、明確なAlN膜厚成長パターンを形成する。堆積材料及び/又は堆積膜の相対的厚さ、すなわち「膜厚」は、図に示されるように大幅に進行し、SiO2基板上へのAlNの急速な成長が観察される。反対に、Cu基板上に堆積されるAlNの膜厚成長は、このような堆積に関係付けられた核生成遅延ゆえに、初期の期間中は停滞が続くだろう。次いで、続くエッチング動作が、SiO2基板上及びCu基板上のいずれかに堆積されたAlNの膜厚を薄くし、Cu基板上に堆積されたAlN膜は、初期のゼロ厚さ状態に戻る及び/又はリセットされる。このような堆積及びエッチングの動作及び/又はサイクルは、上述のように、例えば基板上及び/又はCu基板上に特定の所望の膜厚のAlNを実現するために必要に応じて繰り返されてよい。
装置
図7は、本書で説明されるような選択的堆積を行うのに適した低圧環境を維持するための処理チャンバボディ702を有する原子層堆積(ALD)プロセスステーション700の一実施形態の説明図を示している。複数のALDプロセスステーション700が、共通の低圧処理ツール環境内に含まれてよい。例えば、図8は、マルチステーション型処理ツール800の一実施形態を示している。一部の実施形態では、以下で詳細に論じられるものを含むALDプロセスステーション700の1つ以上のハードウェアパラメータが、1つ以上のコンピュータコントローラ750によってプログラムで調整されてよいことがわかる。
ALDプロセスステーション700は、処理ガスを分配シャワーヘッド706に配送するための反応物配送システム701aと流体連通している。反応物配送システム701aは、シャワーヘッド706に配送する用に、アミノシラン前駆体ガス、又は酸化剤ガス(例えばオゾン)、又はアンモニアガス及び/若しくは窒素ガスなどの処理ガスを混ぜ合わせる並びに/又は整えるための混合容器704を含む。1つ以上の混合容器入口弁420が、混合容器704への処理ガスの導入を制御してよい。窒素プラズマ及び/又はアンモニアプラズマも、シャワーヘッド706に配送されてよい又はALDプロセスステーション700の中で生成されてよい。
一例として、図7の実施形態は、混合容器704に供給される液体反応物を気化するための気化地点703を含む。一部の実施形態では、気化地点703は、加熱された気化器であってよい。このような気化器から生成された飽和した反応剤蒸気は、下流の配送管の中で凝結する恐れがある。凝結した反応剤に、不適合性のガスが触れると、小粒子が形成されることがある。これらの小粒子は、管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする恐れがある。これらの問題に対処するための一部のアプローチは、配送管をパージ及び/又は排気して残留反応剤を除去することを伴う。しかしながら、配送管のパージは、プロセスステーションのサイクル時間を長くし、プロセスステーションのスループットを下げる恐れがある。したがって、一部の実施形態では、気化地点703の下流の配送管が、熱追跡されてよい。一部の例では、混合容器704も、熱追跡されてよい。非限定的な一例では、気化地点703の下流の管が、おおよそ100℃から混合容器704におけるおおよそ150℃に向けて上昇する温度プロフィールを有する。
一部の実施形態では、液体前駆体又は液体反応剤が、液体注入器で気化されてよい。例えば、液体注入器は、混合容器の上流のキャリアガス流に、液体反応剤をパルス注入してよい。一実施形態では、液体注入器は、より高い圧力から低い圧力へ液体を勢いよく流すことによって反応剤を気化させてよい。別の例では、液体注入器は、分散した微滴状に液体を霧化させてよく、これらの微滴は、続いて、加熱された配送管内で気化される。液滴は、小さいほど速く気化され、液体注入と完全気化との間の遅延を短縮させるだろう。気化が速いほど、気化地点703から下流の管の長さを短くできるだろう。或る状況では、液体注入器は、混合容器704に直接取り付けられてよい。別の状況では、液体注入器は、シャワーヘッド706に直接取り付けられてよい。
一部の実施形態では、気化及びプロセスステーション700への配送のために液体の質量流量を制御するために、気化地点703の上流に液体流量コントローラ(LFC)が提供されてよい。例えば、LFCは、その下流に位置する熱質量流量計(MFM)を含んでいてよい。したがって、LFCのプランジャ弁が、MFMと電気的に通信する比例・積分・微分(PID)コントローラによって提供されるフィードバック制御信号を受けて調整されてよい。しかしながら、フィードバック制御を使用して液体の流れを安定化させるには、1秒又はそれを超える時間がかかるだろう。これは、液体反応剤を投入するための時間を長くする恐れがある。したがって、一部の実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えされてよい。一部の実施形態では、これは、LFC検知管及びPIDコントローラを使用停止にすることによって実施されてよい。
シャワーヘッド706は、基板712に向かって処理ガスを分配する。図7に示された実施形態では、基板712は、シャワーヘッド706の下に位置付けられ、台座708に着座して示されている。シャワーヘッド706は、任意の適切な形状を有してよく、処理ガスを基板712に分配するのに適した任意の数及び配置のポートを有してよい。
一部の実施形態では、台座708が、基板712を基板712とシャワーヘッド706との間の空間に露出させるために昇降されよい。一部の実施形態では、台座の高さが、適切なコンピュータコントローラ750によってプログラムで調整されてよいことがわかる。
別の状況では、台座708の高さ調整によって、プラズマが着火される実施形態においてプロセスのプラズマ活性化サイクル中にプラズマ密度が変更されることが可能にされてよい。プロセス段階の終わりには、台座708から基板712が取り除かれることを可能にするために、台座708が別の基板移送段階中に下げられてよい。
一部の実施形態では、台座708は、ヒータ710を通じて温度制御されてよい。台座708は、開示される実施形態で説明されるようなシリコン窒化物膜の堆積中に、一部の実施形態では少なくとも約250℃の温度に、又は一部の実施形態では約250℃など、約300℃未満の温度に加熱されてよい。一部の実施形態では、台座は、約200℃から約275℃の間など、約50℃から約300の間の温度に設定される。一部の実施形態では、台座は、約50℃から約300℃の間の温度に設定される。一部の実施形態では、台座は、約200℃から約275℃の間の温度に設定される。
更に、一部の実施形態では、バタフライ弁718によって、プロセスステーション700のための圧力制御が提供されてよい。図4の実施形態に示されるように、バタフライ弁718は、下流の真空ポンプ(不図示)によって提供される真空を絞り調節する。しかしながら、一部の実施形態では、プロセスステーション700の圧力制御は、プロセスステーション700に導入される1種類以上のガスの流量を変化させることによって調整されてもよい。
一部の実施形態では、基板712とシャワーヘッド706との間の体積を変化させるために、シャワーヘッド706の位置が台座708に相対的に調整されてよい。更には、本開示の範囲内の任意の適切なメカニズムによって、台座708及び/又はシャワーヘッド706の垂直位置が変更されてよいことがわかる。一部の実施形態では、台座708は、基板712の向きを回転させるための回転軸を含んでいてよい。一部の実施形態では、これらの代表的な調整のうちの1つ以上が、1つ以上の適切なコンピュータコントローラ750によってプログラムで実施されてよいことがわかる。
上記のような、プラズマが使用されてよい一部の実施形態では、シャワーヘッド706及び台座708は、プラズマに電力供給するために、無線周波数(RF)電力供給部714及び整合回路網716と電気的に通信する。一部の実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、及びプラズマ電力パルスタイミングのうちの1つ以上を制御することによって制御されてよい。例えば、RF電力供給部714及び整合回路網716は、所望の組成のラジカル種を有するプラズマを発生させるために、任意の適切な電力で動作されてよい。適切な電力の例は、約150Wから約6000Wである。プラズマは、シリコン窒化物上と比べてシリコン酸化物上に選択的にシリコン酸化物を堆積させるのに先立って、シリコン窒化物表面の処理中に使用されてよい。RF電力供給部414が、任意の適切な周波数のRF電力を提供しえる。一部の実施形態では、RF電力供給部414は、高周波数RF電力源及び低周波数RF電力源を互いに独立に制御するように構成されてよい。低周波数RF周波数の例として、0kHzから500kHzの間の周波数が挙げられる、ただし、これらに限定はされない。高周波数RF周波数の例として、1.8MHzから2.45GHzの間の周波数、又は約13.56MHzを超える周波数、又は27MHzを超える周波数、又は40MHzを超える周波数、又は60MHzを超える周波数が挙げられ、ただし、これらに限定はされない。表面反応のためのプラズマエネルギを提供するために、任意の適切なパラメータが離散的に又は連続的に調整されてよいことがわかる。
一部の実施形態では、プラズマは、1つ以上のプラズマモニタによってin−situで監視されてよい。或る状況では、1つ以上の電圧・電流センサ(例えば、VIプローブ)によって、プラズマ電力が監視されてよい。別の状況では、1つ以上の発光分析センサ(OES)によって、プラズマ密度及び/又は処理ガス濃度が測定されてよい。一部の実施形態では、このようなin−situプラズマモニタからの測定結果に基づいて、1つ以上のプラズマパラメータがプログラムで調整されてよい。例えば、プラズマ電力のプログラム制御を提供するためのフィードバックループにおいて、OESセンサが使用されてよい。なお、一部の実施形態では、プラズマ及びその他のプロセス特性を監視するために、その他のモニタが使用されてよいことがわかる。このようなモニタの例として、赤外線(IR)モニタ、音響モニタ、及び圧力変換器が挙げられ、ただし、これらに限定はされない。
一部の実施形態では、コントローラ750のための命令が、入出力制御(IOC)シークエンシング命令を通じて提供されてよい。一例では、或るプロセス段階のための条件を設定するための命令が、プロセスレシピの中の対応するレシピ段階に含められてよい。場合によっては、プロセスレシピの中の段階は、或るプロセス段階のための全ての命令がそのプロセス段階に並行して実行されるように、順次配置されてよい。一部の実施形態では、1つ以上のリアクタパラメータを設定するための命令が、レシピ段階に含められてよい。例えば、第1のレシピ段階は、不活性ガス及び/又はアンモニアガス及び/又は窒素反応剤ガスの流量を設定するための命令と、(アルゴンなどの)キャリアガスの流量を調整するための命令と、プラズマを着火するための命令と、第1のレシピ段階のための時間遅延命令とを含んでいてよい。第2のレシピ段階は、不活性ガス及び/又はアミノシランシリコン前駆体ガスの流量を設定するための命令と、(アルゴンなどの)キャリアガスの流量を調整するための命令と、第2のレシピ段階のための時間遅延命令とを含んでいてよい。続く第3のレシピ段階は、不活性ガス及び/又は反応剤ガスの流量を調節又は停止するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第3のレシピ段階のための時間遅延命令とを含んでいてよい。第4のレシピ段階は、オゾンなどの酸化剤の流量を調節するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第4のレシピ段階のための時間遅延命令とを含んでいてよい。続く第5のレシピ段階は、不活性ガス及び/又は反応剤ガスの流量を調節又は停止するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第5のレシピ段階のための時間遅延命令とを含んでいてよい。これらのレシピ段階は、開示される実施形態の範囲内で任意の適切なやり方で更に細分化及び/又は反復されてよいことがわかる。一部の実施形態では、コントローラ750は、図8のシステムコントローラ850に関連して後述される特徴のうちの任意を含んでいてよい。
上述されたように、図8に示されるようなマルチステーション型処理ツールには、1つ以上のプロセスステーションが含まれてよい。マルチステーション型処理ツールは、一部の実施形態では、カリフォルニア州フリーモントのLam Researchから入手可能なツール及び/又は製品のVECTOR(商標)群からであってよく、図1〜3との関連で提示及び説明されたALDを行う又はそれ以外の形で補助する。図8は、入室ロードロック802と、退室ロードロック804とを伴うマルチステーション型処理ツール800の一実施形態の概略図を示しており、これらのロードドックは、その一方又は両方が遠隔プラズマ源を含んでいてよい。大気圧にあるロボット806が、ポッド808を通じて装填されたカセットから大気圧ポート810を通してウエハを入室ロードロック802内へ移動させるように構成される。ウエハは、ロボット806によって入室ロードロック802内の台座812に載せられ、大気圧ポート810は閉じられ、ロードロックはポンプによって排気される。入室ロードロック802が遠隔プラズマ源を含む場合は、ウエハは、処理チャンバ814内へ導入される前に、ロードロック内でシリコン窒化物表面を処理するために遠隔プラズマ処理を施されてよい。更に、ウエハは、例えば湿気及び吸着ガスを除去するために入室ロードロック802内で加熱されてもよい。次に、処理チャンバ814に通じるチャンバ移送ポート816が開かれ、ウエハは、処理のために、別のロボット(不図示)によって、リアクタ内に示された第1のステーションの台座の上に載せられる。図8に示された実施形態が、ロードロックを含む一方で、一部の実施形態では、プロセスステーション内へウエハが直接入れられてよいことがわかる。
図に示された処理チャンバ814は、4つのプロセスステーションを含み、これらのステーションは、図8に示された実施形態では、1〜4の番号を振られている。各ステーションは、加熱された台座(ステーション1の場合は818で示されている)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なる又は複数の目的を有してよいことがわかる。例えば、一部の実施形態では、プロセスステーションは、ALDプロセスモードとプラズマ支援式ALDプロセスモードとの間で切り替え可能であってよい。加えて又は或いは、一部の実施形態では、処理チャンバ814は、1対以上のALD/プラズマ支援式ALDプロセスステーションを含んでいてよい。図に示された処理チャンバ814は、4つのプロセスステーションを備えているが、本開示にしたがった処理チャンバは、任意の適切な数のステーションを有してよいことが理解される。例えば、一部の実施形態では、処理チャンバは、5つ以上のステーションを有してよく、その他の実施形態では、処理チャンバは、3つ以下のステーションを有してよい。
一部の実施形態では、図8に示されたものが、処理チャンバ814内でウエハを移送するためのウエハハンドリング(取り扱い)システムとやり取りしてよい及び/又はそれ以外の形で係合してよい。一部の実施形態では、ウエハハンドリングシステムは、様々なプロセスステーション間で及び/又はプロセスステーションとロードロックとの間でウエハを移送してよい。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例として、ウエハカルーセル及びウエハハンドリングロボットがある。図8は、処理ツール800の処理条件及びハードウェア状態を制御するために利用されるシステムコントローラ850の一実施形態も示している。システムコントローラ850は、1つ以上のメモリデバイス856と、1つ以上の大容量ストレージデバイス854と、1つ以上のプロセッサ852とを含んでいてよい。プロセッサ852は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などを含んでいてよい。
一部の実施形態では、システムコントローラ850は、処理ツール800の全ての活動を制御する。システムコントローラ850は、システム制御ソフトウェア858を実行し、このソフトウェアは、大容量ストレージデバイス854に格納され、メモリデバイス856に取り込まれ、プロセッサ852上で実行される。或いは、制御ロジックは、コントローラ850内にハードコード化されてよい。これらの目的のために、特殊用途向け集積回路や、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などが使用されてよい。以下の議論において、「ソフトウェア」又は「コード」が使用されるときは、常に、機能的に匹敵するハードコード化されたロジックが代わりに使用されえる。システム制御ソフトウェア858は、処理ツール800によって実施される特定のプロセスの、タイミング、ガスの混合、ガスの流量、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハの温度、目標電力レベル、RF電力レベル、基板台座、チャック、及び/又はサセプタの位置、並びにその他のパラメータを制御するための命令を含んでいてよい。システム制御ソフトウェア858は、任意の適切な形に構成されてよい。例えば、各種の処理ツールプロセスを行うために必要とされる処理ツールコンポーネントの動作を制御するために、様々な処理ツールコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。システム制御ソフトウェア858は、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
一部の実施形態では、システム制御ソフトウェア858は、上述された様々なパラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含んでいてよい。その他の実施形態では、システムコントローラ850に関係付けられた大容量ストレージデバイス854及び/又はメモリデバイス856に格納されたその他のコンピュータソフトウェア及び/又はプログラムが用いられてよい。この目的のためのプログラム又はプログラムセクションの例として、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムがある。
基板位置決めプログラムは、基板を台座818に搭載するために及び基板と処理ツール800のその他のパーツとの間の間隔を制御するために使用される処理ツールコンポーネントのためのプログラムコードを含んでいてよい。
処理ガス制御プログラムは、ガスの組成(例えば、本書で説明されるような、アミノシランガス、酸化剤ガス、アンモニアガス、窒素ガス、キャリアガス、及び/又はパージガス)と流量とを制御するための、並びに必要があれば、プロセスステーション内の圧力を安定化させるために堆積前に1つ以上のプロセスステーションにガスを流し入れるためのコードを含んでいてよい。圧力制御プログラムは、例えば、プロセスステーションの排気システム内の絞り弁やプロセスステーション内へのガスの流れなどを調節することによってプロセスステーション内の圧力を制御するためのコードを含んでいてよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでいてよい。或いは、ヒータ制御プログラムは、基板への(ヘリウムなどの)熱伝達ガスの配送を制御してよい。
プラズマ制御プログラムは、本書における実施形態にしたがって1つ以上のプロセスステーションの中のプロセス電極に印加されるRF電力レベルを設定するためのコードを含んでいてよい。
圧力制御プログラムは、本書における実施形態にしたがって反応チャンバ内の圧力を維持するためのコードを含んでいてよい。
一部の実施形態では、システムコントローラ850に関係付けられたユーザインターフェースがあってよい。ユーザインターフェースとして、ディスプレイ画面、装置及び/又は処理条件のグラフィックソフトウェア表示、並びにポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどのユーザ入力デバイスが挙げられる。
一部の実施形態では、システムコントローラ850によって調整されるパラメータが、処理条件に関係していてよい。非限定的な例として、処理ガスの組成及び流量、温度、圧力、(RFバイアス電力レベルなどの)プラズマ条件、圧力、温度などがある。これらのパラメータは、レシピの形でユーザに提供されてよく、ユーザインターフェースを用いて入力されてよい。
プロセスを監視するための信号が、様々な処理ツールセンサからシステムコントローラ850のアナログ入力接続及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、処理ツール800のアナログ出力接続及びデジタル出力接続に載せて出力されてよい。監視されえる処理ツールセンサの非限定的な例として、質量流量コントローラ、(圧力計などの)圧力センサ、熱電対などがある。処理条件を維持するために、適切にプログラムされたフィードバック・制御アルゴリズムがこれらのセンサからのデータと併せて使用されてよい。
システムコントローラ850は、上述された堆積プロセスを実行に移すためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などなどの、多様な処理パラメータを制御してよい。命令は、本書で説明される様々な実装形態にしたがった膜積層体のin−situ堆積を動作させるために、パラメータを制御してよい。
システムコントローラ850は、開示される実施形態にしたがった方法を装置が実施するように、通常は、1つ以上のメモリデバイスと、命令を実行するように構成された1つ以上のプロセッサとを含む。開示される実施形態にしたがったプロセス動作を制御するための命令を含む機械読み取り可能媒体が、システムコントローラ850に結合されてよい。
一部の実装形態では、システムコントローラ850は、システムの一部であってよく、該システムは、上述された例の一部であってよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、処理のための1つ以上のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガスフローシステムなど)を含む、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称されてよく、これは、1つ以上のシステムの様々なコンポーネント又は副部品を制御してよい。システムコントローラ850は、処理要件及び/又はシステムタイプに応じて、処理ガスの配送、温度の設定(例えば加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールに対して並びに特定のシステムに接続された又はインターフェース接続されたその他の移送ツール及び/又はロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示されるプロセスのうちの任意を制御するようにプログラムされてよい。
概して、システムコントローラ850は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う各種の集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、各種の個別設定(又はプログラムファイル)の形でシステムコントローラ850に伝えられて、半導体ウエハに対して若しくは半導体ウエハのための特定のプロセスを実行に移すための、又はシステムへの、動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作中に1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
システムコントローラ850は、一部の実装形態では、システムと一体化された、システムに結合された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータに結合されてよい。例えば、システムコントローラ850は、「クラウド」の中、又はファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現進行状況を監視するために、又は過去の製作動作の履歴を調査するために、又は複数の製作動作から傾向若しくは性能基準を調査するために、又は現行の処理のパラメータを変更するために、又は処理工程を設定して現行の処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしえる。一部の例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワーク又はインターネットなどが挙げられるコンピュータネットワークを通じてシステムにプロセスレシピを提供することができる。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。一部の例では、システムコントローラ750は、1つ以上の動作の最中に実施されるべき各処理工程のためのパラメータを指定するデータの形式で命令を受信する。これらのパラメータは、実施されるプロセスのタイプに、及びシステムコントローラ750がインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であることが、理解されるべきである。したがって、上述されたように、システムコントローラ750は、ネットワークによって結ばれて本書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例は、(プラットフォームレベルで又は遠隔コンピュータの一部としてなどで)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路だろう。
制限なく、代表的なシステムとして、プラズマエッチングチャンバ又はプラズマエッチングモジュール、堆積チャンバ又は堆積モジュール、スピンリンスチャンバ又はスピンリンスモジュール、金属めっきチャンバ又は金属めっきモジュール、洗浄チャンバ又は洗浄モジュール、ベベルエッジエッチングチャンバ又はベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ又はPVDモジュール、化学気相成長(CVD)チャンバ又はCVDモジュール、ALDチャンバ又はALDモジュール、原子層エッチング(ALE)チャンバ又はALEモジュール、イオン注入チャンバ又はイオン注入モジュール、追跡チャンバ又は追跡モジュール、並びに半導体ウエハの製作及び/又は生産に関係付けられえる又は使用されえるその他のあらゆる半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ以上のプロセス工程に応じて、システムコントローラ850は、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所に設置されたツール、メインコンピュータ、別のコントローラ、又は半導体生産工場の中のツール場所及び/若しくはロードポートに対してウエハ入りの容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りするだろう。
本書で開示される方法を実施するための適切な装置が、2011年4月11日に出願され名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許出願第13/084,399号(今現在の米国特許第8,728,956号)、及び2011年4月11日に出願され名称を「SILICON NITRIDE FILMS AND METHODS(シリコン窒化物膜及び方法)」とする米国特許出願第13/084,305号において、更に議論及び説明されている。これらの出願は、それぞれ、参照によってその全体を本書に組み込まれる。
本書で説明される装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製作又は生産のために、リソグラフィパターニングのツール又はプロセスと併せて使用されてよい。このようなツール/プロセスは、必ずしも必然ではないが通常は、共通の製作設備の中で併せて使用される又は行われる。膜のリソグラフィパターニングは、通常は、(1)スピンオンツール又は噴き付けツールを使用して、被処理物、即ち基板上にフォトレジストを塗布する動作、(2)加熱板又は加熱炉又はUV硬化ツールを使用して、フォトレジストを硬化させる動作、(3)ウエハステッパなどのツールによって、可視光又は紫外線又はX線にフォトレジストを暴露する動作、(4)レジストを選択的に除去してそれによってパターニングするために、ウェットベンチなどのツールを使用して、レジストを現像する動作、(5)ドライ式又はプラズマ支援式のエッチングツールを使用することによって、レジストパターンをその下の膜又は被処理物に転写する動作、並びに(6)RF又はマイクロ波プラズマレジスト剥ぎ取り器などのツールを使用して、レジストを除去する動作の、一部又は全部を含み、各動作は、考えられる幾つかのツールによって可能にされる。
次に、総じて図9を参照にして、特定の実施形態では原子層エッチング(ALE)動作及び/又は原子層堆積(ALD)動作に適しているだろう誘導結合プラズマ(ICP)リアクタが説明される。このようなICPリアクタは、2013年12月10日に出願され名称を「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING(マルチパターニングのための、AHM隙間充填による像反転)」とする米国特許出願公開第2014/0170853号でも説明されており、該出願公開は、あらゆる目的のために、参照によってその全体を本明細書に組み込まれる。本書では、ICPリアクタが説明されているが、一部の実施形態では、容量結合プラズマ(CCP)リアクタが使用されてもよいことが理解されるべきである。
図9は、本明細書における特定の実施形態を実行するのに適した誘導結合プラズマ統合型エッチング・堆積装置900の断面図を概略的に示しており、このような装置の一例は、カリフォルニア州フリーモントのLam Research Corp.によって製造されるKiyo(登録商標)リアクタである。一部の実施形態では、図1〜4との関連で提示及び説明されたALEが、主にKiyo(登録商標)リアクタ上で行われてよい。誘導結合プラズマ装置900は、チャンバ壁901と、チャンバ壁どうしの間に広がる窓911とによって構造的に画定された全体処理チャンバを含む。チャンバ壁901は、ステンレス鋼又はアルミニウムで作成されてよい。窓911は、石英又はその他の誘電材料で作成されてよい。随意の内部プラズマ格子950が、全体処理チャンバを上方サブチャンバ902とその下に位置決めされた下方サブチャンバ903とに分ける。更に、一部の実施形態では、プラズマ格子950が取り外されてよく、それによって、サブチャンバ902及び903の両方で形成されたチャンバ空間が利用される。下方サブチャンバ903内の内側の底面近くには、チャック917が位置決めされる。チャック817は、エッチングプロセス及び堆積プロセスが実施される半導体基板又はウエハ919を受けて保持するように構成される。チャック917は、ウエハ919が存在するときにそのウエハを支えるための静電チャックであることができる。一部の実施形態では、エッジリング(不図示)がチャック917を取り囲み、このエッジリングは、ウエハ919がチャック917の上に存在するときにそのウエハの上面とおおよそ同一面上にくる上面を有する。一部の実施形態では、チャック917は、また、ウエハを把持する及び解放するための静電電極も含む。この目的のために、フィルタ及びDCクランプ電源(不図示)が提供されてよい。ウエハ919をチャック917から持ち上げるための、その他の制御システムも提供できる。チャック917は、RF電源923を使用して電気的に充電できる。RF電源923は、接続927を通じて整合回路網921に接続される。整合回路網921は、接続925を通じてチャック917に接続される。このようにして、RF電源923は、チャック917に接続される。
プラズマ生成のための構成要素には、窓911の上方に位置決めされるコイル933がある。コイル933は、導電性材料で作成され、少なくとも1回の完全な巻きを含む。図8に示されたコイル933の例は、3回の巻きを含む。コイル933の断面は、記号で示され、「X」を有するコイルは、ページに進入する方向に巻いており、「●」を有するコイルは、ページから突き出る方向に巻いている。プラズマ生成のための構成要素は、また、コイル933にRF電力を供給するように構成されたRF電源941も含む。総じて、RF電源941は、接続845を通じて整合回路網839に接続される。整合回路網839は、接続943を通じてコイル933に接続される。このようにして、RF電源941は、コイル933に接続される。コイル933と窓911との間には、随意のファラデーシールド949が位置決めされる。ファラデーシールド949は、コイル933に対して相隔てられた関係に維持される。ファラデーシールド949は、窓811の真上に設置される。コイル933、ファラデーシールド949、及び窓911は、例えば図8に示された構成において、それぞれ、互いに実質的に平行であるように構成される。ファラデーシールドは、プラズマチャンバの誘電体窓に金属又はその他の種が堆積するのを阻止しえる。
上方サブチャンバ内に位置決めされた1つ以上の主要ガスフロー入口960を通して、及び/又は1つ以上の脇ガスフロー入口970を通して、処理ガス(例えば、塩素、アルゴン、シリコン四塩化物、酸素、窒素など)が処理チャンバに流し込まれてよい。同様に、図には明示されていないが、同様のガスフロー入口が、処理ガスを容量結合プラズマ処理チャンバに供給するために使用されてよい。例えば1段階若しくは2段階の機械式ドライポンプ、及び/又はターボ分子ポンプ940などの真空ポンプが、処理ガスを処理チャンバ924から引き出すために及び処理チャンバ900内の圧力を維持するために使用されてよい。例えば、ポンプは、ALDのパージ動作中にチャンバ901を排気するために使用されてよい。真空ポンプによって提供される真空環境の適用を選択的に制御できるように、弁制御式の導管が、真空ポンプを処理チャンバに流体的に接続するために使用されてよい。これは、操作可能なプラズマ処理中に、絞り弁(図9には示されていない)又は振り子弁(図8には示されていない)などの閉ループ制御式流量制限機器を用いてなされてよい。同様に、容量結合プラズマ処理チャンバへの、真空ポンプ及び/又は弁によって制御される流体接続が使用されてもよい。
ICP装置900の動作中は、1種類以上の処理ガスが、ガスフロー入口960及び/又は970を通じて供給されてよい。特定の実施形態では、処理ガスは、主要ガスフロー入口960を通じてのみ、又は脇ガスフロー入口970を通じてのみ供給されてよい。場合によっては、図に示されているガスフロー入口は、例えば、より複雑なガスフロー入口、及び/又は1つ以上のシャワーヘッドなどで置き換えられてよい。ファラデーシールド949及び/又は随意の格子950が、チャンバへの処理ガスの配送を可能にする内部通路及び穴を含んでいてよい。ファラデーシールド949及び随意の格子950は、そのいずれか又は両方が、処理ガスの配送のためのシャワーヘッドとして機能してよい。一部の実施形態では、液体反応物又は液体前駆体が、ひとたび気化されたらガスフロー入口960及び/又は970を通じてチャンバ内へ導入されるように、液体気化・供給システムが、チャンバ901の上流に据えられてよい。液体前駆体の例として、SiCl4及びシリコンアミドがある。
RF電流がコイル933内へ流れる及び/又はコイル933を流れるようにするために、無線周波数電力が、RF電源941からコイル933に供給される。コイル933を流れるRF電流は、コイル933の周囲に電磁場を生成し、この電磁場は、上方サブチャンバ902内に誘導電流を発生させる。生成された様々なイオン及びラジカルとウエハ919との物理的及び化学的相互作用は、例えば図1Aに示されたALEプロセス及び/又はALDプロセスについて議論及び説明されたように、ウエハの特徴及びウエハ上の堆積層を選択的にエッチングする。
もし、上方サブチャンバ902及び下方サブチャンバ903がともに存在するようにプラズマ格子が使用されるならば、誘導電流は、上方サブチャンバ902内に電子−イオンプラズマを発生させるために、上方サブチャンバ902内に存在するガスに作用する。随意の内部プラズマ格子950は、下方サブチャンバ903内の高温電子の量を制限する。一部の実施形態では、装置は、下方サブチャンバ903内に存在するプラズマがイオン−イオンプラズマであるように設計及び動作される。
上方の電子−イオンプラズマ及び下方のイオン−イオンプラズマは、ともに、陽イオン及び陰イオンを含みえるが、ただし、イオン−イオンプラズマの方が、陰イオン対陽イオンの比率が大きい。エッチング及び/又は堆積による揮発性の副生成物が、ポート922を通じて下方サブチャンバ903から除去されてよい。本明細書で開示されるチャック917は、約10℃から約250℃の範囲にわたる高温で動作してよい。温度は、プロセスの動作及び具体的なレシピに依存する。
チャンバ901は、洗浄室内又は製作設備内に設置されるときに、設備(図9には示されていない)に接続されてよい。設備は、処理ガス、真空、温度制御、及び/又は環境粒子制御を提供する配管系統を含んでいてよい。これらの設備は、対象の製作設備内に設置されるときに、チャンバ901に接続される。また、チャンバ901は、移送チャンバに接続されてよく、該移送チャンバは、例えば一般的な自動化を使用してロボットがチャンバ901に対して半導体ウエハを出し入れすることを可能にする。
一部の実施形態では、システムコントローラ930(1つ以上の物理的又は論理的コントローラが挙げられる)が、処理チャンバの動作の一部又は全部を制御する。システムコントローラ930は、1つ以上のメモリデバイスと、1つ以上のプロセッサとを含んでいてよい。一部の実施形態では、装置は、開示される実施形態が実施されるときに流量及び持続時間を制御するための切り替えシステムを含む。一部の実施形態では、装置は、最長で約500msの、又は最長で約750msの、切り替え時間を有してよい。切り替え時間は、例えば流れの化学的性質、選ばれたレシピ、リアクタの構造、及びその他の各種の要素に依存してよい。
一部の実装形態では、システムコントローラ、又はコントローラ930は、システムの一部であってよく、該システムは、上述された例の一部であってよい及び/又は上述された例とそれ以外の形で統合されてよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、処理のための1つ以上のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガスフローシステムなど)を含む、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称されてよく、これは、1つ以上のシステムの様々なコンポーネント又は副部品を制御してよい。コントローラ830は、処理要件及び/又はシステムタイプに応じて、処理ガスの配送、温度の設定(例えば加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールに対して並びに特定のシステムに接続された又はインターフェース接続されたその他の移送ツール及び/又はロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示されるプロセスのうちの任意を制御するようにプログラムされてよい。
概して、コントローラ930は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う各種の集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、各種の個別設定(又はプログラムファイル)の形でコントローラに伝えられて、半導体ウエハに対して若しくは半導体ウエハのための特定のプロセスを実行に移すための、又はシステムへの、動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン(SiO2)、表面、回路、及び/又はウエハダイの製作中に1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
コントローラ930は、一部の実装形態では、システムと一体化された、システムに結合された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータに結合されてよい。例えば、コントローラは、「クラウド」若しくは「クラウド」タイプのコンピュータネットワークの中、又はファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現進行状況を監視するために、又は過去の製作動作の履歴を調査するために、又は複数の製作動作から傾向若しくは性能基準を調査するために、又は現行の処理のパラメータを変更するために、又は処理工程を設定して現行の処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしえる。一部の例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワーク又はインターネットなどが挙げられるコンピュータネットワークを通じてシステムにプロセスレシピを提供することができる。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。一部の例では、コントローラ930は、1つ以上の動作の最中に実施されるべき各処理工程のためのパラメータを指定するデータの形式で命令を受信する。これらのパラメータは、実施されるプロセスのタイプに、及びコントローラがインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であることが、理解されるべきである。したがって、上述されたように、コントローラ930は、ネットワークによって結ばれて本書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例は、(プラットフォームレベルで又は遠隔コンピュータの一部としてなどで)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路だろう。
制限なく、代表的なシステムとして、プラズマエッチングチャンバ又はプラズマエッチングモジュール、堆積チャンバ又は堆積モジュール、スピンリンスチャンバ又はスピンリンスモジュール、金属めっきチャンバ又は金属めっきモジュール、洗浄チャンバ又は洗浄モジュール、ベベルエッジエッチングチャンバ又はベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ又はPVDモジュール、化学気相成長(CVD)チャンバ又はCVDモジュール、ALDチャンバ又はALDモジュール、ALEチャンバ又はALEモジュール、イオン注入チャンバ又はイオン注入モジュール、追跡チャンバ又は追跡モジュール、並びに半導体ウエハの製作及び/又は生産に関係付けられえる又は使用されえるその他のあらゆる半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ以上のプロセス工程に応じて、コントローラは、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所に設置されたツール、メインコンピュータ、別のコントローラ、又は半導体生産工場の中のツール場所及び/若しくはロードポートに対してウエハ入りの容器を出し入れする材料輸送に使用されるツールのうちの1つ以上とやり取りするだろう。
図10は、真空移送モジュール1038(VTM)と境界を接する各種のモジュールを伴う半導体処理クラスタ構造を示している。複数の貯蔵設備と処理モジュールとの間でウエハを「移送する」ための移送モジュールの配置は、「クラスタツール構造」システムと呼ばれてよい。「ロードロック」又は移送モジュールとしても知られるエアロック1030が、VTM1038内に示され、該VTM1038は、各種の製作プロセスを実施するように個別に最適化されえる4つの処理モジュール1020a〜1020dを伴う。例えば、処理モジュール1020a〜1020dは、基板エッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、及び/又はその他の半導体処理を実施するように実装されてよい。一部の実施形態では、ALDとALEとが、同じモジュール内で実施される。一部の実施形態では、ALDとALEとが、同じツールの異なるモジュール内で実施される。基板エッチング処理モジュールの1つ以上(1020a〜1020dのうちの任意)が、本明細書で開示されるように実装されてよい、すなわち、共形的な膜を堆積させるように、ALDによって膜を選択的にエッチングするように、パターンをエッチングするように、及び開示される実施形態にしたがったその他の適切な機能を実現するように実装されてよい。エアロック1030及びプロセスモジュール1020は、「ステーション」と呼ばれてよい。各ステーションは、それをVTM1038につなぎ合わせるファセット1036を有する。各ファセットの内部では、ウエハ1026がそれぞれのステーション間で移動されるときの通過を検出するために、センサ1〜18が使用される。
ロボット1022が、ステーション間でウエハ1026を移送する。一実施形態では、ロボット1022は、1本のアームを有し、別の一実施形態では、ロボット1022は、2本のアームを有し、各アームは、搬送のためにウエハ1026などのウエハを拾い上げるためのエンドエフェクタ1024を有する。ウエハ1026をロードポートモジュール(LPM)1042内のカセット又は前面開閉式一体化ポッド(FOUP)1034からエアロック1030に移送するために、大気圧移送モジュール(ATM)1040内のフロントエンドロボット1032が使用される。プロセスモジュール1020aおよび/または1020d内のモジュールセンタ1028は、ウエハ1026を置くための適切な場所である。ATM1040内のアライナ1044が、ウエハを位置合わせするために使用される。
代表的な処理方法では、LPM1042内のFOUP1034の1つにウエハが入れられる。フロントエンドロボット1032が、ウエハをFOUP1034からアライナ1044に移送し、アライナ1044は、ウエハ1026がエッチングされる前に又は処理される前に正しく中心合わせされることを可能にする。位置を揃えられた後、ウエハ1026は、フロントエンドロボット1032によってエアロック1030内へ移動される。エアロック1030は、ATMとVTMとの間で環境を一致させる機能を有するので、ウエハ1026は、損傷されることなく2つの圧力環境間で移動することができる。エアロックモジュール1030から、ウエハ1026は、ロボット1022によってVTM1038を経てプロセスモジュール1020a〜1020dのうちの1つに移動される。具体的には、ロボット1022は、その各アーム上に位置するエンドエフェクタ1024を使用して例えばウエハ1026を掴み、上述のように移動させる、すなわち、VTM1038を経てプロセスモジュール1020a〜1020dのうちの1つに移動させる。ウエハ1026は、処理されたら、ロボット1022によってプロセスモジュール1020a〜1020dからエアロックモジュール1030へ移動される。ここから、ウエハ1026は、フロントエンドロボット1032によってFOUP1034の1つ又はアライナ1044へ移動されてよい。
留意すべきは、ウエハの移動を制御しているコンピュータが、クラスタ構造の内部にあること、又はクラスタ構造の外の生産現場内にあること、又は遠隔地にあってネットワークを通じてクラスタ構造に接続されることが可能であることである。更に、一部の実施形態では、図7〜9に関連して上述されたコントローラが、図10のツールに実装されてよい。
更に、一部の実施形態では、図1及び/又は図2との関連で図示及び説明されたこと、すなわち、動作104及び/又は動作204aにおけるALDによる選択的堆積と、その後に続く、動作106及び/又は動作210におけるALEによる異なる基板材料間の核生成遅延差異のリセットとが、図7〜10で図示及び議論された装置のうちの任意の1つ以上の中で実施されてよい、及び/又はそれ以外のやり方で行われてよい。
望ましくは、一部の実施形態では、動作104及び/又は動作204aにおけるALDが、カリフォルニア州フリーモントのLam Research Corporationから入手可能なVECTOR(登録商標)製品群などのプラズマ支援式化学気相成長(PECVD)製品によって実行される。更に、Lam Researchによって開発された更なるハイブリッドツールは、共通のプラットフォーム上にVECTOR(登録商標)製品とKiyo(商標)製品とを含んでいてよい。具体的には、このようなプラットフォームは、VECTOR(登録商標)製品上におけるALD手順及びKiyo(商標)製品上におけるALE手順の完了を目指した構成を含んでいてよく、この場合、VECTOR(登録商標)及びKiyo(商標)の両方が、共通のクラスタの中で動作的に統合されている。このような共通のクラスタは、本書で説明されたALD手順及び/又はALE手順が真空破壊を伴うことなく、すなわち真空を破ることなく完了されることを可能にし、それによって、旧来の真空堆積チャンバ及び/又はエッチングチャンバの中及び/又は間でのプロセスの循環に代わる有用な代案を提示するだろう。
更に、図1〜4で図示及び議論されたALE手順及び/又はALE手順のうちの1つ以上(又は全部)の組み合わせは、いずれも、基板表面の完全性をそれ以外の形で含むことなく完了されるだろう。更に、VECTOR(登録商標)製品及び/又はツールとKiyo(商標)製品及び/又はツールとの統合を伴う実施形態は、論じられたALD手順及び/又はALE手順を例えばKiyo(商標)製品上のみで完了させようとする場合よりも、スループットを最適にするだろう。更に、一部の実施形態では、VECTOR(登録商標)製品及び/又はツールとKiyo(商標)製品及び/又はツールとを同じクラスタの中に入れ、ALDがVECTOR(登録商標)製品上で実施されるとともにALEがKiyo(商標)製品上で実施されるようにすることによって、的を外れた堆積に関連した成長欠陥が排除される、又は大幅に低減されるだろう。
上述されたALD手順及び/又はALE手順の、その他の半導体関連プロセスとの更なる統合が可能である。具体的には、図1〜3との関連で図示及び説明されたものは、隙間充填用途、パターン形成、初期層からの後続層の選択的層成長、及び/又はALEなどのエッチング手順中における層の選択的保護において実行される。
結論
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、特定の変更及び修正が、添付の特許請求の範囲内でなされてよいことが明らかである。本実施形態のプロセス、システム、及び装置を実現する多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。

Claims (19)

  1. 半導体基板上で堆積を行う方法であって、
    半導体基板上に選択的に材料を堆積させ、前記基板は、核生成遅延差異にしたがって、上に堆積される材料に対応して異なる核生成遅延を有する複数の基板材料を含み、
    前記基板材料間の前記核生成遅延差異を再確立するために、前記基板上に堆積された前記材料の一部分をエッチングし、
    前記材料を、前記基板上に更に選択的に堆積させる、
    方法。
  2. 請求項1に記載の方法であって、
    上への堆積が意図される第1の基板材料に関係付けられた核生成遅延は、上への堆積が意図されない第2の基板材料に関係付けられた核生成遅延よりも少ない、方法。
  3. 請求項2に記載の方法であって、
    前記核生成遅延差異は、前記堆積の進行に伴って低下する、方法。
  4. 請求項1に記載の方法であって、
    前記エッチングは、サイクル単位で実施され、一サイクルは、前記基板の表面を改質するために前記基板をエッチングガスに暴露し、前記改質された表面の少なくとも一部を除去するために前記基板を除去用ガスに暴露する、方法。
  5. 請求項1に記載の方法であって、
    前記堆積は、サイクル単位で実施され、一サイクルは、前記基板の表面を改質するために前記基板を堆積前駆体に暴露し、前記材料を堆積させるために前記基板を還元剤に暴露する、方法。
  6. 請求項1に記載の方法であって、
    前記基板上に選択的に前記材料を堆積させ、前記堆積された材料の一部分をエッチングすることの繰り返しは、対応して堆積選択性を断絶させることなく前記堆積される材料を厚くする、方法。
  7. 請求項1に記載の方法であって、
    前記基板上に選択的に前記材料を堆積させ、前記堆積された材料の一部分をエッチングすることの繰り返しは、的を外れた堆積を阻止する、方法。
  8. 請求項1に記載の方法であって、
    堆積される前記材料は、窒化アルミニウム(AlN)である、方法。
  9. 請求項1に記載の方法であって、
    前記複数の基板材料は、シリコン酸化物(SiO2)、シリコン窒化物(Si34)、シリコン炭化物(SiC)、酸化アルミニウム(Al23)、及び窒化アルミニウム(AlN)からなる群より選択される、方法。
  10. 請求項1に記載の方法であって、
    前記複数の基板材料は、ハフニウム(Hf)、ジルコニウム(Zr)、及び酸化スズ(SnO2)からなる群より選択される、方法。
  11. 請求項1に記載の方法であって、
    前記複数の基板材料は、タングステン(W)、銅(Cu)、コバルト(Co)、アルミニウム(Al)、チタン(Ti)、シリコン(Si)、及び炭素(C)からなる群より選択される、方法。
  12. 請求項8に記載の方法であって、
    トリメチルアルミニウムが、堆積される前記窒化アルミニウムのためのアルミニウムを提供する、方法。
  13. 方法であって、
    (a)チャンバに収容されている基板を、前記基板を覆う膜を堆積させるために第1の反応剤と第2の反応剤との交番パルスに暴露し、前記基板は、上への前記膜の堆積が意図される第1の基板材料と、上への前記膜の堆積が意図されない第2の基板材料とを有し、前記第2の基板材料は、前記第1の基板材料とは異なり、前記第1の基板材料の場合の前記核生成遅延は、前記堆積の進行によって低下する核生成遅延差異にしたがって、前記第2の基板材料の場合の前記核生成遅延よりも少なく、
    (b)チャンバに収容されている基板を、前記堆積された材料の一部分をエッチングして前記第1の基板材料と前記第2の基板材料との間の前記核生成遅延差異をリセットするためにエッチングガスと除去用ガスとの交互バルスに暴露する、
    方法。
  14. 請求項13に記載の方法であって、更に、
    前記同じチャンバ内で(a)と(b)とを繰り返す、方法。
  15. 基板を処理するための装置であって、
    それぞれがチャックを有する1つ以上の処理チャンバと、
    前記処理チャンバ内へ通じる1つ以上のガス入口、及び関連の流量制御ハードウェアと、
    プロセッサ及びメモリを有するコントローラであって、
    前記プロセッサ及びメモリは、通信可能方式で互いに接続され、
    前記少なくとも1つのプロセッサは、少なくとも動作可能方式で前記流量制御ハードウェアに接続され、
    前記メモリは、
    上に堆積される材料に対応して異なる核生成遅延を核生成遅延差異にしたがって有する複数の基板材料を含む半導体基板上に選択的に材料を堆積させ、
    前記基板上に堆積された前記材料の一部分を、前記基板材料間の前記核生成遅延差異を再確立するためにエッチングし、
    前記材料を、前記基板上に更に選択的に堆積させる、
    ことによって前記流量制御ハードウェアを少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を格納する、コントローラと、
    を備える装置。
  16. 請求項15に記載の装置であって、
    半導体基板上に選択的に材料を堆積させ、前記基板上に堆積された前記材料の一部分のエッチングは、真空状態のまま実施する、装置。
  17. 請求項15に記載の装置であって、
    前記メモリは、
    (a)チャンバに収容されている基板を、前記基板を覆う膜を堆積させるために第1の反応剤と第2の反応剤との交番パルスに暴露し、前記基板は、上への前記膜の堆積が意図される第1の基板材料と、上への前記膜の堆積が意図されない第2の基板材料とを有し、前記第2の基板材料は、前記第1の基板材料とは異なり、前記第1の基板材料の場合の前記核生成遅延は、前記堆積の進行にしたがって低下する核生成遅延差異にしたがって、前記第2の基板材料の場合の前記核生成遅延よりも少なく、
    (b)チャンバに収容されている基板を、前記堆積された材料の一部分をエッチングして前記第1の基板材料と前記第2の基板材料との間の前記核生成遅延差異をリセットするためにエッチングガスと除去用ガスとの交互バルスに暴露する、
    ことによって前記流量制御ハードウェアを少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を格納する、 装置。
  18. 請求項17に記載の装置であって、更に、
    前記同じチャンバ内で(a)と(b)とを繰り返す装置。
  19. 請求項17に記載の装置であって、
    前記(a)及び(b)は、真空状態のまま実施される、装置。
JP2018075109A 2017-04-19 2018-04-10 原子層エッチングのリセットを伴う選択的堆積 Pending JP2018182322A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762487411P 2017-04-19 2017-04-19
US62/487,411 2017-04-19
US15/581,951 US10559461B2 (en) 2017-04-19 2017-04-28 Selective deposition with atomic layer etch reset
US15/581,951 2017-04-28

Publications (2)

Publication Number Publication Date
JP2018182322A true JP2018182322A (ja) 2018-11-15
JP2018182322A5 JP2018182322A5 (ja) 2018-12-27

Family

ID=63854060

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018075109A Pending JP2018182322A (ja) 2017-04-19 2018-04-10 原子層エッチングのリセットを伴う選択的堆積

Country Status (6)

Country Link
US (2) US10559461B2 (ja)
JP (1) JP2018182322A (ja)
KR (2) KR102608585B1 (ja)
CN (1) CN108735675B (ja)
SG (1) SG10201800863VA (ja)
TW (1) TW201903833A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020236425A1 (en) * 2019-05-20 2020-11-26 Lam Research Corporation SixNy AS A NUCLEATION LAYER FOR SiCxOy

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11101163B2 (en) * 2018-01-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated robotic arm sensing
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
KR102626263B1 (ko) * 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
WO2020263757A1 (en) * 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (ja) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
EP0559119B1 (en) 1992-03-02 2000-10-11 Matsushita Electric Industrial Co., Ltd. Chemically adsorbed film and method of manufacturing the same
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US6255731B1 (en) 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
WO1999036956A1 (en) 1998-01-13 1999-07-22 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP4492783B2 (ja) 2001-09-12 2010-06-30 日本電気株式会社 半導体装置及びその製造方法
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5419983B2 (ja) 2009-07-31 2014-02-19 株式会社東芝 不揮発性記憶装置
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
KR101626954B1 (ko) 2010-03-29 2016-06-03 삼성전자주식회사 반도체 장치의 캐패시터 제조 방법 및 이에 따라 제조된 반도체 장치의 캐패시터
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
CN105289536B (zh) 2011-02-14 2019-07-09 迪奥内克斯公司 液相色谱柱
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US20140178568A1 (en) 2011-04-29 2014-06-26 Applied Materials, Inc. Devices and methods for passivating a flexible substrate in a coating process
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
WO2013134653A1 (en) 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Methods for making silicon containing films on thin film transistor devices
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
DE112013007056T5 (de) 2013-06-27 2016-03-17 Intel Corporation Nicht lithographisch strukturierte Ausrichtungsunterstützungsschichten für gezielte Selbstanordnung
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9076651B1 (en) 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
US9214334B2 (en) * 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9873613B2 (en) 2014-02-28 2018-01-23 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) * 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP6604596B2 (ja) 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
CN113373428B (zh) 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US10082187B2 (en) 2014-12-22 2018-09-25 Ford Global Technologies, Llc Mechanically roughened brake rotors
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US20180053659A1 (en) 2015-02-26 2018-02-22 Applied Materials, Inc. Methods and apparatus for deposition processes
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI717260B (zh) 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
KR102377376B1 (ko) 2015-06-26 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 막들의 선택적 증착
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020236425A1 (en) * 2019-05-20 2020-11-26 Lam Research Corporation SixNy AS A NUCLEATION LAYER FOR SiCxOy

Also Published As

Publication number Publication date
US20180308680A1 (en) 2018-10-25
CN108735675A (zh) 2018-11-02
US10998187B2 (en) 2021-05-04
KR20180117525A (ko) 2018-10-29
KR102608585B1 (ko) 2023-11-30
CN108735675B (zh) 2024-03-15
SG10201800863VA (en) 2018-11-29
US10559461B2 (en) 2020-02-11
KR20230166993A (ko) 2023-12-07
TW201903833A (zh) 2019-01-16
US20200118809A1 (en) 2020-04-16

Similar Documents

Publication Publication Date Title
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US10176984B2 (en) Selective deposition of silicon oxide
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
JP6038975B2 (ja) 半導体基板を処理する方法
KR20210021503A (ko) 갭충진을 위한 컨포멀 막 증착
US9478411B2 (en) Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
KR20210072826A (ko) 에칭 챔버의 방향성 증착
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181015