CN105390370B - 使用四碘化钛前体低温沉积纯钛薄膜的方法和装置 - Google Patents

使用四碘化钛前体低温沉积纯钛薄膜的方法和装置 Download PDF

Info

Publication number
CN105390370B
CN105390370B CN201510514930.3A CN201510514930A CN105390370B CN 105390370 B CN105390370 B CN 105390370B CN 201510514930 A CN201510514930 A CN 201510514930A CN 105390370 B CN105390370 B CN 105390370B
Authority
CN
China
Prior art keywords
titanium
substrate
room
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510514930.3A
Other languages
English (en)
Other versions
CN105390370A (zh
Inventor
思鲁提·维韦克·托姆贝尔
伊斯达克·卡里姆
桑杰·戈皮纳特
丹耐克·迈克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105390370A publication Critical patent/CN105390370A/zh
Application granted granted Critical
Publication of CN105390370B publication Critical patent/CN105390370B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

提供了使用四碘化钛前体低温沉积纯钛薄膜的方法和装置,具体提供了在低温下沉积高度保形和纯的钛薄膜的方法。方法包括将衬底暴露于四碘化钛,吹扫室,将衬底暴露于等离子体,吹扫室,并重复这些操作。在低于约450℃的低温下沉积钛膜。

Description

使用四碘化钛前体低温沉积纯钛薄膜的方法和装置
技术领域
本发明总体上涉及半导体处理领域,更具体涉及使用四碘化钛前体低温沉积纯钛薄膜的方法和装置。
背景技术
半导体制造工艺通常涉及钛或含钛化合物的沉积。通常,钛薄膜已通过物理气相沉积溅射方法沉积。随着半导体器件缩小以及更小的技术节点,缩小的特征尺寸使得高度保形的和纯的钛膜的沉积更有挑战性。增大的深宽比能够导致特征表面的不完整的阶梯覆盖,导致半导体设备的阻隔性能差。沉积钛薄膜所使用的其它方法由于所使用的前体导致钛膜具有杂质,或导致热预算问题。
发明内容
本文提供了沉积钛或含钛化合物的方法。一个方面包括通过在低于约450℃的温度下使用原子层沉积循环在半导体衬底上沉积钛,其中每个循环包括:(i)将所述衬底暴露于四碘化钛,(ii)吹扫所述室,(iii)将所述衬底暴露于点燃的等离子体,以及(iv)吹扫所述室;以及重复(i)至(iv)直至在所述衬底上沉积了期望厚度的钛。每个循环可沉积具有厚度为约的钛。
在各种实施方式中,所述方法还包括在将所述衬底暴露于四碘化钛之前,预清洁所述衬底。在一些实施方式中,在整个(i)至(v),将所述衬底暴露于载气。所述载气可从如下群组中选择:氩气、氢气、和它们的组合。所述等离子体可以远程地或在所述室中产生。
在各种实施方式中,所述方法还包括将所沉积的钛退火以形成硅化钛。在一些实施方式中,在(i)中将所述衬底暴露于四碘化钛介于1秒和约30秒之间的持续时间,在(ii)和(iv)中吹扫所述衬底,每个持续时间为介于1秒和5秒之间,并且在(iii)中将所述衬底暴露于等离子体介于1秒和10秒之间的持续时间。
在一些实施方式中,所沉积的钛具有小于约1%的污染物,或小于约0.1%的污染物。在一些实施方式中,所沉积的钛具有至少约4.0克/立方厘米的膜密度。所沉积的钛可具有介于约70%至约100%之间的阶梯覆盖。在一些实施方式中,所述室压强可介于约0.1乇与约20乇之间。在一些实施方式中,在所述衬底上的所述特征可以具有介于约3∶1与约10∶1之间的深宽比。
在各种实施方式中,所述方法还包括在低于约450℃的温度下使用原子层沉积循环沉积氮化钛,其中每个循环包括:(i)将所述衬底暴露于四碘化钛,(ii)吹扫所述室,(iii)将所述衬底暴露于含氮气体并点燃等离子体;以及(iv)吹扫所述室;以及重复(i)至(iv)直至在所述衬底上沉积了期望厚度的钛。在一些实施方式中,所述含氮气体从如下群组中选择:氮气、氨气、肼和胺。
另一方面涉及一种用于在半导体衬底上沉积钛的装置,其中所述装置包括:反应室,其包括用于保持所述衬底的底座;至少一个出口,其用于耦接至真空;一个或多个处理气体入口,其耦接至一个或多个前体源;射频(RF)产生器;以及用于控制所述装置中的操作的控制器。所述控制器包括用于以下操作的机器可读指令:(a)将所述反应室中的所述底座的温度设置为低于约450℃的温度,(b)将四碘化钛导入所述室,(c)吹扫所述室,(d)向所述室中提供等离子体,以及(e)吹扫所述室,以及(f)重复(b)至(e)。
在一些实施方式中,所述指令被配置在(b)至(e)的每个循环中沉积约的钛。在一些实施方式中,所述控制器还包括用于以下操作的机器可读指令:(g)将四碘化钛导入所述室,(h)吹扫所述室,(i)向所述室中提供等离子体,(j)将含氮气体导入所述室,以及(k)吹扫所述室;以及(1)重复(g)至(k)。在一些实施方式中,所述气体从如下群组中选择:氮气、氨气、肼和胺。
这些和其他方面将在下文进一步参考附图来说明。
附图说明
图1示出根据各种实施方式的沉积钛的方法中的操作的工艺流程图。
图2示出了根据各种实施方式的脉冲的时序图。
图3示出根据各种实施方式的应用方法的示例中操作的工艺流程图。
图4是适用于根据所公开的实施方式的沉积工艺的处理室的示意图。
图5是适用于根据所公开的实施方式的沉积工艺的处理系统的示意图。
图6A和6B是根据所公开的实施方式的实验的沉积的膜的透射电子显微镜(TEM)图像。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对所呈现的实施方式的透彻理解。然而,所公开的实施方式可以在没有这些具体细节中的一些或全部的情况下实施。在其它情况下,未详细描述公知的处理操作以免不必要地使本发明难以理解。尽管将会结合具体的详细实施方式描述本发明,但是应当理解,这些具体的详细实施方式并不旨在限制所公开的实施方式的范围。
沉积纯、薄、保形的钛膜对半导体器件制造而言非常关键。在前道工序(FEOL)和后道工序(BEOL)金属化两者中,钛和钛化合物膜被用作阻挡层。具体地,在触头(如钨或铜触头)中钛膜被用作阻挡物。通常地,在触头中使用的阻挡层包括钽和氮化钽,或两者的组合。沉积的方法通常包括物理气相沉积溅射。然而,由于钛广泛适用于半导体器件的各种组件中作为低电阻材料,具有钛阻挡层的触头是特别感兴趣的。
随着行业朝着越来越小的半导体器件发展,特征被缩小并且其中要沉积钛的特征的深宽比是很高的。这样的特征可具有约30nm或更小的特征的开口。高深宽比的例子包括介于约3∶1和10∶1之间的深宽比,例如5∶1。当常规技术用于沉积钛到高深宽比的特征中时,台阶覆盖率是低的。由于溅射法的定向性质,台阶覆盖仅为介于约15%和约20%之间,并且沉积的薄膜的均匀度是低的。
已经提出化学气相沉积(CVD)方法用于沉积钛到高深宽比的特征中,以形成高度保形的膜。然而,CVD沉积涉及使用金属-有机钛前体,如TDMAT、TEMAT、TDEAT等,或使用氯化钛(TiCl4)。由于有机物质的掺入,使用金属-有机前体经常导致钛膜中的杂质,使得钛膜包含碳和氮污染物。使用TiCl4的沉积涉及分解TiCl4,分解TiCl4在高温下(例如,超过约600℃)进行以阻止氯掺入所沉积的钛膜中。当衬底暴露于高温时,使用常规技术沉积钛的工艺条件可能会超过器件的热预算,使得衬底的现有底层可能被损坏或变得无用。
本文提供的是用于半导体处理中的在低温下在高深宽比的特征中沉积高度保形和纯钛膜的方法。该方法涉及使用含钛前体(例如,前体具有TixRy的通式)的钛沉积。虽然可以使用其它的含钛化合物,但四碘化钛(TiI4)被用作所公开的实施方式的一个例子。所公开的实施方式的优点包括在低温下使用TiI4的沉积,具有很少杂质至几乎没有杂质的基本上纯的钛膜的沉积,以及在FEOL和BEOL应用两者中沉积钛和钛化合物的沉积方法的广泛适用性。
因为虽然碘比一些其它卤化物较不易挥发,但钛-碘键比其它键(如钛-氯键)较易断裂,所以四碘化钛作为钛前体是特别有用的。这是由于钛-碘键的ΔG(吉布斯自由能)较低(TiI4的ΔG=-370.69千焦耳/摩尔;TiCl4的ΔG=-725.3千焦/摩尔;TiI4的ΔH(形成焓)=-375.72千焦耳/摩尔;TiCl4的ΔH=-762.32千焦/摩尔)。
图1提供了根据所公开的实施方式执行方法的操作的工艺流程图。图1的操作针对FEOL应用可以在低于约450℃的温度下进行,或针对BEOL应用可以在低于约400℃的温度下进行。在各种实施方式中,其中执行图1的操作的室的温度为低于约350℃。室的压强可为介于约0.1乇和约20乇之间,或介于约1乇和约3乇之间。在图1中的操作期间,载气可流动。载气可以是任何惰性气体,例如氩气,其流率可为介于约100sccm和约300sccm之间。如氩气之类气体特别适用于高纯度的钛膜的沉积。在其中钛化合物要被沉积在衬底上的各种实施方式中,辅助气体可以结合载气或替代载气(对于沉积TiN,诸如氮(N2)或含氮气体)使用。
在图1的操作102中,衬底可选地被预清洁。预清洁可以包括热处理、时间长达约2分钟的等离子体处理(例如,用Ar、或者反应F、或者基于Cl的化学物)、或者任何其他适当的预清洁处理。
在操作104中,将衬底暴露于诸如TiI4等含钛前体。含钛前体被吸附到衬底表面上的活性位点。在一些实施方式中,将衬底暴露充分的持续时间以基本覆盖所有的活性位点(诸如活性位点的至少约80%、或者至少约90%)。在各种实施方式中,将衬底暴露于含钛前体介于约1秒和约30秒之间的时间。
含钛前体可具有高的蒸气压,如在约85℃下大于约110毫乇。含钛前体的示例包含具有通式TiXn的化合物,其中n是2至4且包括2和4的整数,并且X是卤化物。具体示例包含TiI4、TiCl4、TiF4和TiBr4。在各种实施方式中,将衬底暴露于TiI4。在一些实施方式中,含钛前体是非有机化合物。含钛前体可以被存储在沉积室上游的起泡器中。起泡器可以设定在介于约80℃和约160℃之间的温度,或者低于约100℃的温度。
可以在有等离子体或无等离子体的情况下执行操作104。如果使用等离子体,那么等离子体可以是远程或者现场的等离子体。等离子体可以具有介于约13.56MHz和约27MHz之间的频率。在一些实施方式中,等离子体具有27MHz的频率。等离子体的功率可以为介于约0.3W/cm2与约0.6W/cm2之间。
在操作106中,室被清扫气相中剩余的任何保留的含钛前体。这样,在该操作期间,停止了含钛前体的流,并且载气连续流入室中。该操作可以被执行介于约1秒和约5秒之间的时间。
在操作108中,将衬底暴露于等离子体。在该操作期间任何惰性气体(诸如氩或者H2)可以流动。在一些实施方式中,在点燃了等离子体时,氩和H2的混合物流动。等离子体可以是远程或者现场的等离子体,并且可以具有关于操作104描述的任何频率和功率。在很多实施方式中,该等离子体投配可以执行介于约1秒和约10秒之间的持续时间。在该操作期间,钛与任何配体(诸如碘原子)之间的键可能断裂,使得固体和实质上纯(少于约1%污染物)的钛保留在衬底上。
在操作110中,等离子体被关闭且该室被吹扫,使得仅所述载气继续流入室,在整个操作104和108中所述载气已连续流动。该吹扫可以执行介于约1秒和约5秒之间的持续时间。在该操作期间,可以吹扫在操作108期间移除的由配体形成的任何化合物。例如,在该操作期间可以移除碘(I2)。等离子体可以是现场的等离子体或者远程等离子体。
在操作112中,确定沉积的膜是否已被沉积至充足厚度,其可以是纯的和高度共形的钛的沉积所期望的任何适当的厚度。如果否,那么重复操作104-110,直至膜被沉积至充足厚度。
图2是具有各种暴露和吹扫阶段的时序示意图。如图所示,在方案200中,第一沉积循环210A可以包括一系列的四个操作(220A、240A、260A、280A),其分别对应于图1中的操作104、106、108和110。操作104对应于220A中的TiI4暴露阶段。要注意的是在该暴露阶段,氩作为载气流动,TiI4流入室,等离子被关闭。操作106对应于清洁阶段240A,其中TiI4暴露被关闭,等离子体被关闭,并且仅氩继续流动。操作108对应于等离子体暴露阶段260A,其中等离子体接通,TiI4流保持关闭,并且氩继续流动。等离子体有助于去除附着到沉积的钛的任何配体(例如碘原子)以产生高纯钛膜。操作110对应于吹扫阶段280A,其中等离子体是关闭的,TiI4流仍处于关闭状态,并且氩气继续流动以吹扫任何剩余的TiI4或者等离子体。沉积循环210B示出了如果充足厚度的钛膜仍未沉积在衬底上则使用重复的沉积循环的示例。在沉积循环210B中,重复在图1中的操作104至110,分别导致TiI4暴露阶段220B,吹扫阶段240B,等离子体暴露阶段260B,和吹扫阶段280B。进一步的沉积循环可以根据需要进行重复。
沉积的高纯钛膜可具有介于约70%和约100%之间的台阶覆盖,或针对具有约3∶1的深宽比特征具有至少约90%的台阶覆盖。在其中深宽比为约5∶1的一些实施方式中,钛膜的台阶覆盖可以为介于约50%和约70%之间。使用本文所公开的方法的沉积总体不导致在特征的开口的边缘上的膜的突出端。
在各种实施方式中,沉积的钛膜可以具有原子碘杂质小于约0.1%,或污染物小于约1%。钛膜可以沉积至任何所期望的厚度,例如介于约2nm和约20nm之间,或小于约5nm。每个循环沉积膜的厚度可为约如有必要,所需钛膜循环可以被重复多次。沉积膜的密度可为至少约4.0克/立方厘米,或约4.2克/立方厘米。在一些实施方式中,在高深宽比特征中,使用本文描述的方法沉积的钛膜与使用PVD溅射在衬底上直接沉积的钛膜具有可比的特性和性质,不同的是使用本文描述的方法沉积的膜比PVD溅射沉积的膜有较高的台阶覆盖。在一些实施方式中,对于的钛膜,电阻率可小于约400μΩ-cm。
图3描绘了本文描述的方法的应用的例子的工艺流程图。钛/氮化钛膜可使用描述的方法的变型来沉积,使得可以在衬底上的高深宽比特征中沉积具有高阶梯覆盖的薄的、高度保形的膜。在沉积金属以形成触头之前,钛/氮化钛膜可沉积在特征中作为阻挡层。
具有不同的高深宽比特征的衬底可以首先使用上面关于图1中的操作102所描述的条件和化学物预清洁。在一些实施方式中,该预清洁操作可以是可选的。在可选的预清洁之后,在操作312使用上面关于图1中的操作104-110所描述的方法和条件沉积薄的钛层。例如,高纯、保形的钛可以被沉积为小于约2nm的厚度,具有至少4.0克/立方厘米的密度。
在操作314中使用低于约450℃的温度的热退火可以任选地对沉积的高纯钛进行后处理,这导致形成硅化钛(在一些情况下,TiSix,其中x=2),或形成TiSixGey(0<x+y<2)。
在可选的后处理之后,在操作316中氮化钛可以通过使用脉冲调制方式的ALD来沉积。在该沉积期间室压强、温度和载气流率和载气种类与钛沉积期间可以是相同的。
氮化钛可通过首先将衬底暴露于前体投配,持续介于约1至30秒之间的时间来沉积,其中该等离子体可以是打开或关闭的。前体可通过载气流或辅助气流被导入衬底。所述前体可被吹扫,使得前体流被停止并且等离子体被关断,但载气流或辅助气流继续流动持续约1秒至约5秒。随后,将衬底暴露于等离子体投配,其中载气或辅助气体继续流动,不导入额外的前体流,并且等离子体接通持续介于约1秒至约10秒之间的时间。然后等离子体被关闭,而载气或辅助气体继续流动持续约1秒至5秒。这些序列可以映射到在图1中的操作104-110。类似于图1,可以重复这些操作,直到氮化钛膜沉积到适当的厚度。
需要注意的是,沉积氮化钛代替纯钛,载气或辅助气体包括含氮化合物。在一些实施方式中,含氮化合物是有机金属化合物。具体地,可以使用反应性的含氮反应物。在其中使用反应性含氮反应物的一些实施方式中,等离子体投配可以在时间或功率上被减少,或者可以被消除。可使用的含氮化合物的实例包括氮(N2)、氨(NH3)、肼家族和胺。金属有机前体的例子是四(乙氨基)钛(TDEAT)。
在衬底上沉积氮化钛层之后,在操作318中钨被沉积在衬底上以形成触头或钨插塞。在各种实施方式中,钨通过CVD沉积。在操作320中衬底可任选是平坦的,以形成完整的钨触头或钨插塞。
本文所公开的实施方式可以用于沉积高度保形的和基本上纯的钛,以及钛化合物,如氮化钛、硅化钛和氧化钛。
装置
图4示出了具有处理室体402的原子层沉积(ALD)处理站400的一种实施方式的示意图。多个ALD处理站400可以被包括在通常处理工具环境中。例如,图5示出了多站处理工具500的一种实施方式。在一些实施方式中,ALD处理站400的一个或多个硬件参数(包含下文详细讨论的那些)可以由一个或多个的计算机控制器450以编程方式调节。
ALD处理站400与反应物输送系统401a流体连通以输送处理气体至分配喷头406。反应物输送系统401a包括用于混合和/或调理处理气体以输送至喷头406的混合容器404。一个或多个混合容器入口阀420可控制处理气体导入至混合容器404。站400包含经由安瓿线连接至室402的安瓿盒413。例如,TiI4可以使用反应物输送系统401a来输送。
作为示例,图4的实施方式包含汽化点403,汽化点403用于蒸发液体反应物来供应至混合容器404。在一些实施方式中,汽化点403可以是加热的蒸发器。从这样的蒸发器生成的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会形成小颗粒。这些小颗粒可能阻塞管道,阻碍阀操作,污染衬底等。处理这些问题的一些方法涉及吹扫和/或抽空输送管道来移除残留反应物。然而,吹扫输送管道可能增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方式中,汽化点403下游的输送管道可以被热追踪。在一些示例中,混合容器404也可以被热追踪。在一个非限制性示例中,汽化点403下游的管道具有增加的温度分布,在混合容器404处从约100℃延伸至约150℃。
在一些实施方式中,液体前体或者液体反应物可以在液体喷射器处蒸发。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流。在一种实施方式中,液体喷射器可以通过将液体从较高压闪蒸到较低压来蒸发反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中蒸发的分散的微滴。较小的液滴比较大的液滴可以较快蒸发,减小了在液体喷射和完成汽化之间的延迟。较快的汽化可以减小汽化点403下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器404上。在另一个方案中,液体喷射器可以直接装载到喷头406上。
在一些实施方式中,汽化点403上游的液体流量控制器(LFC)可以被设置用于控制用于汽化并输送至处理站400的液体的质量流量。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。然后可响应于由与该MFM电通信的比例-积分-微分(PID)控制器提供的反馈控制信号调节LFC的柱塞阀。然而,它可能需要一秒或更多时间以使用反馈控制来稳定液体流。这可能延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间进行动态切换。在一些实施方式中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头406朝衬底412分配处理气体。在图4所示的实施方式中,衬底412位于喷头406下方,并且示出为安置在底座408上。喷头406可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底412。
任选地,在部分处理期间可以降低和/或提高底座408来调节处理压力、反应物浓度等。应该理解的是,在一些实施方式中,底座高度可以以编程方式由适当的计算机控制器450来调节。
在另一种情况下,调整底座408的高度可以允许等离子体密度在包含在处理中的等离子体激活和/或处理循环的期间变化。在处理阶段的结束处,底座408可在另一衬底传输期间降低以允许衬底412从底座408移除。
此外,应当理解的是,底座408和/或喷头406的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,底座408可包括用于旋转衬底412的方向的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调节中的一个或多个可以通过一个或多个适当的系统控制器450以编程方式执行。
在上述可以使用等离子体的一些实施方式中,喷头406和底座408与射频(RF)功率源414和匹配网络416电通信来对等离子体供电。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源414和匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的示例包括在上文中。同样地,RF功率源414可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源414可以被配置为彼此独立地控制高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于50kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性实例中,等离子体功率可以间歇地施以脉冲,以相对于被连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种方案中,等离子体功率可通过一个或多个的电压、电流传感器(例如,VI探针)进行监控。在另一种方案中,等离子体密度和/或处理气体的浓度可以由一个或多个光发射谱(OES)传感器来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调整。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其他工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)定序指令来提供用于控制器450的指令。在一个示例中,用于设定对工艺阶段的条件的指令可以包含在处理配方的对应的配方阶段。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或多个的反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体和/或反应气体(例如第一前体,诸如TiI4)的流率的指令、用于设置载气(诸如氩或者氮)的流率的指令、以及用于第一配方阶段的时延指令。后续的第二配方阶段可包括用于调制或者停止惰性和/或反应气体的流率的指令;用于调制载气或者吹扫气体的流率的指令;以及用于第二配方阶段的时延指令。第三配方阶段可以包括用于设定惰性气体和/或反应气体的流率的指令,该气体可以相同或者不同于用于第一配方阶段的气体(例如第二前体,诸如氩);用于调制载气的流率的指令;以及用于第三配方阶段的时延指令。第四配方阶段可以包括用于调制或者停止惰性气体和/或反应气体的流率的指令;用于调制载气或者吹扫气体的流率的指令;以及用于第四配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。
在一些实施方式中,底座408可以经由加热器410进行温度控制。例如,底座408可以使用加热器410在高度保形和纯钛层的沉积期间以诸如300℃这样的低温来加热。此外,在一些实施方式中,用于处理站400的压强控制可以由蝶形阀418提供。如图4的实施方式所示,蝶形阀418对由下游真空泵(未示出)提供的真空节流。然而,在一些实施方式中,压强控制处理站400还可以通过改变导入至处理站400的一种或多种气体的流率来调节。
如上所述,一个或多个处理站可以被包括在多站式处理工具中。图5示出多站处理工具500的实施例的概要视图,多站处理工具500具有入站加载锁502和出站加载锁504,其一者或者两者可以包括远程等离子体源。处于大气压强的机械手506被配置为将晶片从通过舱508装载的盒,经由大气端口510移动至入站加载锁502。晶片由机械手506放置在入站加载锁502中的底座512上,大气端口510被关闭,且加载锁被抽空。当入站加载锁502包括远程等离子体源时,晶片在被导入处理室514之前,可以暴露于加载锁中的远程等离子体处理。此外,晶片另外也可以在入站加载锁502中加热,以例如移除所吸附的湿气和气体。接下来,通向处理室514的室传输端口516被打开,且另一机械手(未示出)将晶片放置到在反应器中被示出用于处理的第一站的底座中的反应器。尽管在图5中绘出的实施方式包含加载锁,但应该理解的是,在一些实施方式中,可以使晶片直接进入处理站。
所描述的处理室514包括四个处理站,图5所示的实施方式中从1至4编号。每个站具有加热的底座(对于站1示出为518)和气体管线入口。应该理解的是在一些实施方式中,每个处理站可以具有不同或者多个用途。例如,在一些实施方式中,处理站可以在ALD与等离子体增强的ALD处理模式之间切换。附加地或替代地,在一些实施方式中,处理室514可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室514包括4个站,但要理解的是根据本公开的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或5个以上的站,而在其他实施方式中,处理室可以具有3个或者更少的站。
图5绘出了用于在处理室514内传输晶片的晶片处理系统590的实施方式。在一些实施方式中,晶片处理系统590可以在各种处理站之间和/或处理站与加载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片处理系统。非限制性示例包含晶片转盘和处理晶片的机械手。图5还绘出了采用来控制处理工具500的工艺条件和硬件状态的系统控制器550的实施方式。系统控制器550可以包含一个或多个存储器设备556、一个或多个大容量存储设备554和一个或多个处理器552。处理器552可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器550控制处理工具500的所有活动。系统控制器550执行存储在大容量存储设备554、载入存储器设备556、并由处理器552执行的系统控制软件558。替代地,控制逻辑可以在控制器550中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”时,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件558可以包含用于控制时序、气体的混合、亚饱和的气流的量、室和/或站压力、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底底座、吸盘和/或基座位置、以及由处理工具500执行的特定处理的其他参数的指令。系统控制软件558可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入进行各种处理工具处理所需要的处理工具组件的控制操作。系统控制软件558可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,系统控制指令558可包括用于控制上述各种参数的输入/输出控制(IOC)定序指令。在一些实施方式中可以采用与系统控制器550关联的、存储在大容量存储设备554和/或存储器设备556的其他计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压强控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,处理工具组件被用于将衬底加载到底座518,并控制处理工具500的衬底与其他部分之间的间距。
处理气体控制程序可以包含用于如下操作的代码:控制气体组合物(例如本文描述的TMA、氨和吹扫气体)和流率,并且可选地用于在沉积之前将气体流入一个或多个处理站以稳定处理站的压强。压强控制程序可以包含用于如下操作的代码:例如通过调节处理站的排放系统中的节流阀、流向处理站的气流等,来控制处理站中的压强。
加热器控制程序可以包含用于如下操作的代码:控制流向被用于加热衬底的加热单元的电流。替代地,加热器控制程序可以控制传热气体(诸如氦)向衬底的输送。
等离子体控制程序可以包含用于如下操作的代码:根据本文的实施方式设定施加到一个或多个处理站中的处理电极的RF功率电平。
压强控制程序可以包含用于如下操作的代码:根据本文的实施方式来维持反应室中的压强。
在一些实施方式中,可能有与系统控制器550关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及用户输入设备(诸如指向设备、键盘、触摸屏、麦克风等)。
在一些实施方式中,由系统控制器550调节的参数可以涉及工艺条件。非限制性示例包含处理气体组合物和流率、温度、压强、等离子体条件(诸如RF偏置功率电平)、压强、温度等。这些参数可以以配方形式提供给用户,配方可以利用用户界面输入。
用于监控处理的信号可以由系统控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压力传感器(诸如压力计)、热电偶等。适当编程的反馈和控制算法可以使用来自这些传感器的数据以维持工艺条件。
系统控制器550可以提供用于执行上述沉积处理的机器可读指令。程序指令可以控制各种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的各种实施方式操作膜堆叠的原位沉积。
系统控制器将通常包括一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将根据本文所公开的实施方式执行方法。机器可读介质含有用于控制依据本发明的处理操作的指令,并可以耦接至系统控制器。
用于执行本文公开的方法的适当装置在2011年4月11日提交的美国专利申请No.13/084399且名称为“LASMA ACTIVATED CONFORMAL FILM DEPOSITION”;以及于2011年4月11日提交的申请No.13/084305且名称为“SILICON NITRIDE FILMS AND METHODS”中进一步讨论并说明,其每个的整体并入本文。
上面所描述的装置/处理可以与光刻图案化工具或处理结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏板等。典型地,但不必然地,此类工具/处理将在普通的制造设施中一起使用或进行。膜的光刻图案化通常包括以下操作中的一些或全部,每个操作能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件(即衬底)上;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的刻蚀工具将抗蚀剂图案转移到下伏膜或工件;以及(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实验
实验1
进行实验来评估使用本文所述的方法所沉积的钛膜的特性。使用TiI4为前体和Ar/H2等离子体在氧化硅(如TEOS)衬底上通过ALD沉积钛膜。在300℃的底座温度下和3乇的压强下沉积膜。
图6A示出了所沉积的钛膜602的透射电子显微镜(TEM)图像。图6B示出了相同膜的放大的TEM照片。ALD Ti膜和氧化硅之间的界面看起来光滑。通过XRR分析测量膜密度为4.2克/立方厘米,这与常规的PVD钛膜可比较。对于厚度的膜,膜的电阻率被测量为约300μΩ-cm,仅比常规的PVD钛膜稍高。覆盖膜研究表明,合适的钛膜可以在低温下用TiI4作为前体进行沉积。
实验2
使用TiI4作为前体通过ALD使用本文描述的方法沉积氮化钛膜。用N2作为反应物,将TiI4前体导入室中。对于的膜,沉积的TiN膜具有200μΩ-cm的电阻率,其与PVD TiN可比较。通过XRR分析测量膜密度为4.6克/立方厘米,这也与PVD TiN膜可比较。结果表明,本文所描述的方法所沉积的TiN膜的电阻率比使用金属-有机前体(TDMAT、TEMAT等)所沉积的TiN膜的电阻率低。
结论
尽管出于清楚理解的目的,已详细说明了上述实施方式,但可以显而易见的是,在随附的权利要求的范围内可以进行某些改变和修改。应该注意的是,有实现处理、系统、以及本实施方式的装置的很多替代方式。从而,本实施方式被认为是示例性的而非限制性的,并且这些实施方式不限于本文给出的细节。

Claims (19)

1.一种在室中的半导体衬底上沉积钛的方法,所述方法包括:
(a)在低于约450℃的温度下使用原子层沉积循环沉积钛,每个循环包括:
(i)将所述衬底暴露于四碘化钛,
(ii)吹扫所述室,
(iii)将所述衬底暴露于点燃的等离子体,以及
(iv)吹扫所述室;以及
(b)重复(i)至(iv)直至在所述衬底上沉积了期望厚度的钛,
其中,所述等离子体在远程的等离子体产生器中被点燃。
2.如权利要求1所述的方法,其还包括在将所述衬底暴露于四碘化钛之前,预清洁所述衬底。
3.如权利要求1所述的方法,其中,在整个(i)至(v)将所述衬底暴露于载气。
4.如权利要求1所述的方法,其还包括将所沉积的钛退火以形成硅化钛。
5.如权利要求1所述的方法,其中,所述等离子体被远程产生。
6.如权利要求3所述的方法,其中,所述载气从如下群组中选择:氩气、氢气、和它们的组合。
7.如权利要求1-6中任一项所述的方法,其中在(i)中将所述衬底暴露于四碘化钛介于1秒和约30秒之间的持续时间,在(ii)和(iv)中吹扫所述衬底,每个持续时间为介于1秒和5秒之间,并且在(iii)中将所述衬底暴露于等离子体介于1秒和10秒之间的持续时间。
8.如权利要求1-6中任一项所述的方法,其中,所沉积的钛具有小于约1%的污染物。
9.如权利要求1-6中任一项所述的方法,其中,所沉积的钛具有至少约4.0克/立方厘米的膜密度。
10.如权利要求1-6中任一项所述的方法,其中,每个循环沉积具有厚度为约的钛。
11.如权利要求1-6中任一项所述的方法,其中,所沉积的钛具有介于约70%和约100%之间的阶梯覆盖。
12.如权利要求1-6中任一项所述的方法,其中,所述室具有介于约0.1乇和约20乇之间的室压强。
13.如权利要求1-6中任一项所述的方法,其中,所述衬底包括具有介于约3:1和约10:1之间的深宽比的特征。
14.如权利要求1-6中任一项所述的方法,其还包括:
(d)在低于约450℃的温度下使用原子层沉积循环沉积氮化钛,每个循环包括:
(i)将所述衬底暴露于四碘化钛,
(ii)吹扫所述室,
(iii)将所述衬底暴露于含氮气体并点燃等离子体;以及
(iv)吹扫所述室;以及
(e)重复(i)至(iv),直至在所述衬底上沉积了所述期望厚度的氮化钛。
15.如权利要求14所述的方法,其中,所述含氮气体从如下群组中选择:氮气、氨气、肼和胺。
16.一种用于在半导体衬底上沉积钛的装置,所述装置包括:
反应室,其包括用于保持所述衬底的底座;
至少一个出口,其用于耦接至真空;
一个或多个处理气体入口,其耦接至一个或多个前体源;
远程射频(RF)产生器;以及
用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:
(a)将所述反应室中的所述底座的温度设置为低于约450℃的温度,
(b)将四碘化钛导入所述室,
(c)吹扫所述室,
(d)向所述室中提供来自所述远程射频产生器的等离子体,
(e)吹扫所述室,以及
(f)重复(b)至(e)。
17.如权利要求16所述的装置,其中,所述指令被配置成在(b)至(e)的每个循环沉积约的钛。
18.根据权利要求16或17所述的装置,其中,所述控制器还包括用于以下操作的机器可读指令:
(g)将四碘化钛导入所述室,
(h)吹扫所述室,
(i)向所述室中提供等离子体,
(j)将含氮气体导入所述室,以及
(k)吹扫所述室;以及
(l)重复(g)至(k)。
19.如权利要求18所述的装置,其中,所述含氮气体从如下群组中选择:氮气、氨气、肼和胺。
CN201510514930.3A 2014-08-20 2015-08-20 使用四碘化钛前体低温沉积纯钛薄膜的方法和装置 Active CN105390370B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/464,462 US9478438B2 (en) 2014-08-20 2014-08-20 Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US14/464,462 2014-08-20

Publications (2)

Publication Number Publication Date
CN105390370A CN105390370A (zh) 2016-03-09
CN105390370B true CN105390370B (zh) 2018-11-30

Family

ID=55348888

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510514930.3A Active CN105390370B (zh) 2014-08-20 2015-08-20 使用四碘化钛前体低温沉积纯钛薄膜的方法和装置

Country Status (4)

Country Link
US (1) US9478438B2 (zh)
KR (1) KR20160022792A (zh)
CN (1) CN105390370B (zh)
TW (1) TWI682057B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9478411B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11430661B2 (en) 2018-12-28 2022-08-30 Applied Materials, Inc. Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition
US11081364B2 (en) * 2019-02-06 2021-08-03 Micron Technology, Inc. Reduction of crystal growth resulting from annealing a conductive material
CN113853449B (zh) * 2019-05-31 2023-10-10 应用材料公司 用于在基板上形成膜的方法及系统
CN112626501A (zh) * 2019-10-09 2021-04-09 长鑫存储技术有限公司 等离子体沉积薄膜杂质含量的改善方法及控制装置
CN110672667B (zh) * 2019-10-17 2021-02-26 北京航空航天大学 一种用于测量等离子体沉积的动态压阻探针
US11646226B2 (en) * 2020-05-11 2023-05-09 Applied Materials, Inc. Method of tuning film properties of metal nitride using plasma
US11664229B2 (en) 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties
CN114807893A (zh) * 2021-01-19 2022-07-29 圆益Ips股份有限公司 薄膜形成方法
CN113275589B (zh) * 2021-05-20 2024-01-23 亚芯半导体材料(江苏)有限公司 高纯度钛粉、钨钛合金溅射靶材的制备方法及系统
US20230377892A1 (en) * 2022-05-19 2023-11-23 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1906327A (zh) * 2004-03-03 2007-01-31 东京毅力科创株式会社 成膜方法

Family Cites Families (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3378508D1 (en) 1982-09-10 1988-12-22 Nippon Telegraph & Telephone Plasma deposition method and apparatus
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6094757A (ja) 1983-10-20 1985-05-27 Fujitsu Ltd 抵抗体
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5514908A (en) 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
WO1996012048A2 (en) 1994-10-11 1996-04-25 Gelest, Inc. Conformal titanium-based films and method for their preparation
CN1075243C (zh) 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5700519A (en) 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
KR100273989B1 (ko) 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
EP1034566A1 (en) 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6093966A (en) 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6350688B1 (en) 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP2004039699A (ja) 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6716753B1 (en) 2002-07-29 2004-04-06 Taiwan Semiconductor Manufacturing Company Method for forming a self-passivated copper interconnect structure
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6841044B1 (en) 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
KR100599434B1 (ko) 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070018214A1 (en) 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
CA2621995A1 (en) 2005-09-09 2007-10-25 University Of Nevada, Reno Preparation of nano-tubular titania substrate with oxygen vacancies and their use in photo-electrolysis of water
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US8349726B2 (en) * 2005-09-23 2013-01-08 Nxp B.V. Method for fabricating a structure for a semiconductor device using a halogen based precursor
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TWI424498B (zh) 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20110120943A1 (en) * 2008-01-14 2011-05-26 Nanexa Ab Biocompatible filter member for body fluid dialysis and fabrication and use thereof
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
WO2015057930A1 (en) 2013-10-17 2015-04-23 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1906327A (zh) * 2004-03-03 2007-01-31 东京毅力科创株式会社 成膜方法

Also Published As

Publication number Publication date
TWI682057B (zh) 2020-01-11
CN105390370A (zh) 2016-03-09
KR20160022792A (ko) 2016-03-02
TW201623680A (zh) 2016-07-01
US20160056053A1 (en) 2016-02-25
US9478438B2 (en) 2016-10-25

Similar Documents

Publication Publication Date Title
CN105390370B (zh) 使用四碘化钛前体低温沉积纯钛薄膜的方法和装置
US10141505B2 (en) Bromine containing silicon precursors for encapsulation layers
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
US10998187B2 (en) Selective deposition with atomic layer etch reset
US9911595B1 (en) Selective growth of silicon nitride
CN105390369B (zh) 使用原子层沉积的Ti膜来调整TiOx化学计量的方法
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6038975B2 (ja) 半導体基板を処理する方法
CN108847394A (zh) 通过原子层沉积形成平滑和共形的钴膜的方法和装置
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
TW201843333A (zh) 用於內連線之鎢碳氮化物阻障/黏著層的選擇性沉積

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant