TW201623680A - 使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備 - Google Patents

使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備 Download PDF

Info

Publication number
TW201623680A
TW201623680A TW104126934A TW104126934A TW201623680A TW 201623680 A TW201623680 A TW 201623680A TW 104126934 A TW104126934 A TW 104126934A TW 104126934 A TW104126934 A TW 104126934A TW 201623680 A TW201623680 A TW 201623680A
Authority
TW
Taiwan
Prior art keywords
titanium
chamber
substrate
semiconductor substrate
plasma
Prior art date
Application number
TW104126934A
Other languages
English (en)
Other versions
TWI682057B (zh
Inventor
思魯提 維克 湯貝爾
可里伊許特克
珊傑 句頻納斯
米歇爾 丹納克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201623680A publication Critical patent/TW201623680A/zh
Application granted granted Critical
Publication of TWI682057B publication Critical patent/TWI682057B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本文提供在低溫下沉積高度保形及高純度之鈦膜的方法。該方法涉及以下步驟:將基板曝露於四碘化鈦、清除腔室、將基板曝露於電漿、清除腔室、及重複該等操作。鈦膜沉積於低於約450℃的低溫。

Description

使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備
本揭露內容係關於半導體基板處理,更具體而言,係關於使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備。
半導體生產製程通常涉及鈦或含鈦化合物之沉積。在習知情況下,已藉由物理氣相沉積濺鍍方法來沉積鈦薄膜。隨著半導體裝置縮放至越來越小的技術節點,縮小特徵部的尺寸使沉積高度保形且高純度的鈦膜更具挑戰性。增加之縱橫比可導致特徵部表面上不完整的階梯覆蓋率,造成半導體裝置中不良的阻障性能。針對沉積鈦薄膜所使用之其他方法已造成具有由於所使用的前驅物所致之雜質的鈦膜,或已造成熱預算的問題。
本說明書中所提供的係沉積鈦或含鈦化合物之方法。一態樣包含在低於約450℃的溫度下,藉由使用原子層沉積循環,在腔室中將鈦沉積於半導體基板上,此處之每一循環包含:(i)將該基板曝露於四碘化鈦、(ii)清除該腔室、(iii)將該基板曝露於引燃之電漿、及(iv)清除該腔室、及重複(i)至(iv)直至所需厚度之鈦已沉積於該基板上為止。每一循環可沉積具有厚度約為0.5 Å的鈦。
在各種實施例中,該方法更包含在將該基板曝露於四碘化鈦之前,將該基板預潔淨。在某些實施例中,在(i)至(iv)之整個期間使該基板曝露於載氣。該載氣係可選自由氬、氫、及其組合所組成之群組。該電漿可遠端產生或在該腔室中產生。
在各種實施例中,該方法更包含將所沉積之鈦回火以形成鈦矽化物。在某些實施例中,在(i)中該基板曝露於四碘化鈦達一段介於1秒與約30秒之間的持續時間,在(ii)及(iv)中清除該基板各達一段介於1秒與5秒之間的持續時間,而在(iii)中該基板曝露於電漿達一段介於1秒與10秒之間的持續時間。
在某些實施例中,所沉積之鈦具有少於約1%的摻雜物,或少於約0.1%的摻雜物。在某些實施例中,所沉積之鈦具有至少約4.0 g/cc的膜密度。所沉積之鈦可具有介於約70%與約100%之間的階梯覆蓋率。在某些實施例中,該腔室壓力係可介於約0.1 Torr與約20 Torr之間。在某些實施例中,該基板上之特徵部可具有介於約3:1與約10:1之間的縱橫比。
在各種實施例中,該方法更包含在低於約450℃的溫度下,使用原子層沉積循環來鈦氮化物,此處之每一循環包含:(i)將該基板曝露於四碘化鈦、(ii)清除該腔室、(iii) 將該基板曝露於含氮氣體並引燃電漿、及(iv)清除該腔室;及重複(i)至(iv)直至所需厚度之鈦氮化物已沉積於該基板上為止。在某些實施例中,該氣體係選自由氮、氨、聯氨、及胺類所組成之群組。
另一態樣涉及用於在半導體基板上沉積鈦之設備,此處該設備包含一反應之腔室,包含用以支撐該基板之基座;至少一排氣口,用於連接至真空;一或更多的製程氣體進氣口,其連接至一或更多個前驅物源;一射頻(RF, radio frequency)產生器;及一控制器,用於控制該設備中之操作。該控制器包含機器可讀取之指令,該等指令用於:(a)將該反應之腔室中之該基座的溫度設定至低於約450℃的溫度、(b)將四碘化鈦導入至該腔室、(c)清除該腔室、(d)在該腔室中提供電漿、及(e)清除該腔室、及(f)重複(b)至(e)。
在某些實施例中,該等指令係配置成在每一(b)至(e)的循環中沉積約0.5 Å的鈦。在各種實施例中,該控制器更包含機器可讀取之指令,該指令用於:(g)將四碘化鈦導入至該腔室、(h)清除該腔室、(i)在該腔室中提供電漿、(j)將含氮氣體導入至該腔室、及(k)清除該腔室;及(l)重複(g)至(k)。在某些實施例中,該氣體係選自由氮、氨、聯氨、及胺類所組成之群組。
該等及其他態樣係參照圖示進一步敘述於下。
在以下敘述中,為提供對於所呈現實施例之完整瞭解,將提出許多具體細節。在不具有這些具體細節之部分或全部者的情況下,仍可實施本揭露實施例。在其他情況下,為避免不必要地混淆本揭露實施例,因此已不詳細描述眾所周知的程序操作。雖然本揭露實施例將結合具體實施例而描述,但應瞭解其並非意欲限制本揭露實施例。
對於半導體裝置製造而言,沉積純、薄的保形鈦膜係為關鍵性的。在前段製程(FEOL, front end of the line)及後端製程(BEOL, back end of line)兩者之金屬化作用中,鈦膜及鈦化合物係作為阻障層來使用。尤其,鈦膜係作為接點中的阻障來使用,如鎢或銅接點。在習知情況下,在接點中所使用的阻障層已包含鉭及氮化鉭,或兩者之組合。沉積方法一般包含物理氣相沉積(PVD, physical vapor deposition)濺鍍。然而,由於鈦作為低電阻材料,對於半導體裝置之各種元件的廣泛應用性,因此具有鈦阻障層的接點係受到特別關注。
隨著產業移向發展越來越小的半導體裝置,特徵部縮小,且特徵部(鈦將沉積於該處)的縱橫比係為高。此類特徵部可具有約30 nm或更小的特徵部開口。高縱橫比之範例包含介於約3:1與10:1之間的縱橫比,如5:1。當使用習知技術來將鈦沉積於高縱橫比的特徵部中時,階梯覆蓋率低。由於濺鍍方法之方向性性質,階梯覆蓋率僅介於約15%及約20%之間,且所沉積的薄膜之均勻度係為低。
針對將鈦沉積於高縱橫比的特徵部中,已提出化學氣相沉積(CVD, Chemical vapor deposition)方法,以形成高度保形膜。然而。藉由CVD來沉積涉及金屬有機鈦前驅物(如TDMAT、TEMAT、TDEAT等)之使用、或四氯化鈦(TiCl4 , titanium chloride)之使用。使用金屬有機前驅物時,通常會由於結合有機物種而造成該鈦膜中的雜質,而使該鈦膜包含碳及氮摻雜物。使用TiCl4 來進行沉積牽涉分解TiCl4 ,其於高溫(如:超過約600℃)下執行,以防止氯結合至所沉積的鈦膜中。使用習知技術來沉積鈦之製程條件可能超出該裝置的熱預算,如此一來當基板曝露於高溫下,該基板之既存下層可能受到損害或失效。
本說明書中所提供的係為針對用於半導體處理,於低溫下在高縱橫比的特徵部中沉積高度保形及高純度鈦膜的方法。該方法涉及使用含鈦前驅物(如此一來該前驅物具有通式Tix Ry )來進行鈦的沉積。儘管可使用其他含鈦化合物,針對本揭露實施例,四碘化鈦(TiI4 , titanium tetraiodide)係作為一範例來使用。本揭露實施例的優點包含以下各項:在低溫下使用TiI4 來進行沉積、沉積無雜質或幾乎無雜質之實質上的純鈦膜、及在FEOL及BEOL兩者之應用中,用以沉積鈦及鈦化合物兩者之該沉積方法的廣泛應用性。
由於雖然碘較某些其他鹵化物更不易揮發,但鈦-碘鍵結較其他鍵結(如:鈦-氯鍵結)更易斷裂,因此四碘化鈦係為特別有用的鈦前驅物。此情況係由於鈦-碘鍵結之較低的吉布斯自由能(ΔG, Gibbs free energy)( TiI4 之ΔG = -370.69 kJ/mol;TiCl4 之ΔG = -725.3 kJ/mol;TiI4 之生成熱焓(ΔH, enthalpy of formation) = -375.72 kJ/mol;TiCl4 之ΔH = -762.32 kJ/mol)所造成。
圖1提供操作之流程圖,其係用於執行依據所揭露之實施例的方法。圖1之操作針對FEOL應用,可在低於約450℃的溫度下執行,或針對BEOL應用,可在低於約400℃的溫度下執行。在各種實施例中,執行圖1之操作的腔室之溫度係低於約350℃。該腔室之壓力可為介於約0.1 Torr與約20 Torr之間,或介於約1 Torr與約3 Torr之間。於圖1之操作期間,可流動載氣。該載氣可為任何惰性氣體(如氬),其可以介於約100 sccm與約300 sccm之間的流率來流動。例如氬之氣體係特別適於高純度鈦膜之沉積。在鈦化合物將沉積於基板上的實施例中,第二氣體可與該載氣一起使用,或以第二氣體替代該載氣來使用,例如針對沉積TiN,第二氣體可為氮氣(N2 )、或含氮氣體。
在圖1的操作102中,可選擇性地將基板預潔淨。預潔淨可包含以下各項:熱處理、一段高達約2分鐘時間之電漿處理(例如利用Ar、或以反應性F或Cl為基底的化學物)、或任何其他合適的預潔淨處理。
在操作104中,將該基板曝露於含鈦前驅物,如TiI4 。該含鈦前驅物被吸附至該基板表面之活性位置上。在某些實施例中,將該基板曝露一段足以覆蓋實質上所有該活性位置的持續時間,例如該活性位置之至少約80%,或至少約90%。在各種實施例中,將該基板曝露於含鈦前驅物達一段介於約1秒與約30秒之間的時間。
該含鈦前驅物可具有高蒸氣壓,例如在約85℃下,大於約110 mTorr之壓力。含鈦前驅物之範例包含具有化學式TiXn 之化合物,其中n為介於2至4之間且包含2與4之整數,而X為鹵化物。具體範例包含TiI4 、TiCl4 、TiF4 、及TiBr4。在各種實施例中,將該基板曝露於TiI4。在某些實施例中,該含鈦前驅物為非有機化合物。該含鈦前驅物可儲存於在沉積腔室上游的起泡器中。該起泡器可設定在介於約80℃與約160℃之間、或低於約100℃的溫度。
操作104可於含電漿或不含電漿之情況下執行。若使用電漿,則該電漿可為遠端電漿或原位電漿。該電漿可具有介於約13.56 MHz與約27 MHz之間的頻率。在某些實施例中,該電漿具有27 MHz之頻率。該電漿之功率係可介於約0.3 W/cm2 與約0.6 W/cm2 之間。
在操作106中,將腔室內留在氣相中的任何殘餘之含鈦前驅物清除。就此而言,在此操作期間,停止流動該含鈦前驅物,而該載氣則持續流至該腔室中。此操作可執行一段介於約1秒與約5秒之間的時間。
在操作108中,將該基板曝露於電漿。在此操作期間,可流動任何惰性氣體,如氬或H2 。在某些實施例中,當該電漿引燃時,流動氬及H2 之混合物。該電漿可為遠端電漿或原位電漿,且可具有上述關於操作104之頻率及功率之任一者。在許多實施例中,此電漿劑量可執行一段介於約1秒與約10秒之間的持續時間。在此操作期間,可使鈦與任何配位基(如碘原子)之間的鍵結斷裂,俾固體的、且實質上純的(少於約1%之摻雜物)鈦留在該基板上。
在操作110中,關閉該電漿且清除該腔室,而僅使該載氣(其在操作104與108之整段期間持續流動)持續流至該腔室中。此清除過程可執行一段介於約1秒與約5秒之間的持續時間。在此操作期間,可將任何自操作108期間所移除之配位基所形成之化合物清除。舉例而言,在此操作期間,可將碘(I2 )移除。該電漿可為原位電漿或遠端電漿。
在操作112中,決定該所沉積之膜是否已沉積成足夠的厚度,該厚度可為沉積高度保形的純鈦所需之任何合適的厚度。若尚未達成,則重複操作104至110直至該膜沉積成足夠的厚度為止。
圖2係為具有各種曝露及清除階段的時序方案之示意圖。如所示,在方案200中,第一沉積循環210A可包含一系列的四個操作(220A、240A、260A、280A),其分別對應圖1中的操作104、106、108、及110。操作104對應220A中之TiI4 曝露階段。應注意,在該曝露期間,氬係作為載氣流動,TiI4 流至該腔室中,而該電漿關閉。操作106對應清除階段240A,此時該TiI4 曝露關閉,該電漿為關閉狀態,而僅有氬持續流動。操作108對應電漿曝露階段260A,此時該電漿開啟,該TiI4 流動維持關閉,而氬持續流動。該電漿協助移除任何附於所沉積之鈦上的配位基(如碘原子),以產生高純度的鈦膜。操作110對應清除階段280A,此時該電漿關閉,該TiI4 流動維持關閉狀態,而氬持續流動以將任何殘餘之TiI4 或電漿清除。沉積循環210B顯示重複之沉積循環的範例,其用於若該鈦膜在基板上尚未沉積足夠的厚度。在沉積循環210B中,重複圖1中之操作104至110,分別造成TiI4 曝露階段220B、清除階段240B、電漿曝露階段260B、及清除階段280B。必要時可重複另外的沉積循環。
該所沉積的高純度鈦膜可具有介於約70%與約100%之間的階梯覆蓋率,或對於具有約為3:1的縱橫比之特徵部,其具有至少約90%的階梯覆蓋率。在縱橫比約為5:1之某些實施例中,該鈦膜之階梯覆蓋率係可介於約50%與約70%之間。使用本說明書中所揭露之方法來進行沉積,通常不會造成該膜有超出位於特徵部開口處之邊緣的突出部分。
在各種實施例中,所沉積之鈦膜可具有少於約0.1%的原子碘雜質,或少於約1%的摻雜物。該鈦膜可沉積至任何所需厚度,如介於約2 nm與20 nm之間,或少於約5 nm。每循環所沉積的膜之厚度可約為0.5 Å。可視對於該期望之鈦膜所需的次數而重複循環。該所沉積的膜具有至少約4.0 g/cc或約4.2 g/cc之密度。在某些實施例中,使用本說明書中所敘述之方法所沉積之該鈦膜,具有相當於使用PVD濺鍍直接在基板上所沉積之鈦膜的特性及屬性,除了在高縱橫比的特徵部中,該使用本說明書中所敘述之方法所沉積的膜,較使用PVD濺鍍所沉積的膜而言,具有更高的階梯覆蓋率。在某些實施例中,對於140 Å的鈦膜而言,其電阻可為小於約400 µΩ-cm。
圖3描繪本說明書中所敘述方法之應用的實例之流程圖。鈦/鈦氮化物膜可使用該所敘述的方法之變化來沉積,俾可於基板上之高縱橫比的特徵部中沉積具有高階梯覆蓋率之薄、高度保形的膜。在沉積金屬以形成接點之前,鈦/鈦氮化物膜可沉積於特徵部中作為阻障。
具有各種高縱橫比之特徵部的基板,首先可使用如上述關於圖1中操作102之條件及化學物來進行預潔淨。在某些實施例中,該預潔淨操作可為選擇性的。在該選擇性的預潔淨之後,在操作312中,使用如該等上述有關圖1中操作104至110的方法及條件來沉積薄鈦層。例如,高純度、高度保形之鈦可沉積成小於約2 nm之厚度,且具有至少4.0 g/cc的密度。
在操作314中,可於低於約450℃下,使用熱回火來選擇性地後處理該所沉積之高純度鈦,此造成鈦矽化物(TiSix ,在某些情況下,此處的x=2)或TiSix Gey (0 < x+y < 2)的形成。
在該選擇性的後處理之後,在操作316中,可藉由原子層沉積法(ALD, atomic layer deposition),使用脈衝法來沉積鈦氮化物。在此沉積過程中,該腔室之壓力、溫度、及載氣流率與類型,可與在鈦沉積過程中的相同。
藉由首先將該基板曝露於一前驅物劑量,可沉積鈦氮化物,其中電漿可為開啟或關閉狀態,達一段介於約1秒至30秒之間的時間。藉由載氣氣流或第二氣體氣流,可將該前驅物導入至該基板。可將該前驅物清除,而使該前驅物之流動停止,而該電漿關閉,但該載氣氣流或第二氣體氣流則持續流動達約1秒至約5秒。隨後,將該基板曝露於一電漿劑量,此時該載氣或第二氣體持續流動,無額外的前驅物流動被導入,而該電漿開啟達一段介於約1秒與約10秒之間的時間。接著該電漿關閉,而該載氣或第二氣體持續流動達約1秒至5秒。該等順序可映射至圖1中之操作104至110。如圖1,該等操作可重複直至該鈦氮化物膜沉積成足夠的厚度為止。
應注意,欲沉積鈦氮化物以替代純鈦,該載氣或第二氣體包含含氮化合物。在某些實施例中,該含氮化合物係為金屬有機化合物。尤其,可使用反應性之含氮反應物。在使用反應性之含氮反應物之某些實施例中,該電漿劑量可降低其時間或功率、或可消除該電漿劑量。可使用之含氮化合物的範例包含氮(N2 )、氨(NH3 )、聯氨家族、及胺類。金屬有機前驅物之範例為(四(二乙基醯胺基)鈦)(TDEAT,tetrakisdiethylamidotitanium)。
在該鈦氮化物層沉積於基板後,在操作318中,將鎢沉積於該基板上以形成接點或鎢插塞。在各種實施例中,藉由CVD來沉積鎢。在操作320中,該基板可選擇性地平坦化以形成完整的鎢接點或鎢插塞。
本說明書中所揭露之實施例可用於沉積高度保形及實質上純的鈦,以及鈦化合物,如鈦氮化物、鈦矽化物、及鈦氧化物。設備
圖4描繪具有處理腔室體402的ALD處理站400之實施例的示意圖示。多個ALD處理站400可包含於一般的處理工具環境。舉例而言,圖5描繪多站處理工具500之實施例。在某些實施例中,可藉由一或更多的電腦控制器450,程式性地調整ALD處理站400之一或更多的硬體參數,包含該等以下詳加討論的參數。
ALD處理站400與用於遞送製程氣體至分配噴淋頭406之反應物遞送系統401a流體連通。反應物遞送系統401a包含用於混合及/或調節遞送至噴淋頭406之製程氣體的混合容器404。一或更多的混合容器進氣閥420可控制製程氣體至混合容器404之導入。該站400包含安瓿盒413,其經由安瓿線連接至腔室402。舉例而言,可使用反應物遞送系統401a來遞送TiI4
作為實例,圖4之實施例包含用於汽化欲供應至混合容器404之液態反應物的汽化點403。在某些實施例中,汽化點403可為加熱之汽化器。由此類汽化器所產生的飽和反應物蒸氣可在下游遞送管道中凝結。不相容氣體曝露於該凝結反應物可能產生小顆粒。該等小顆粒可能會阻塞管道、妨礙閥操作、污染基板等。用以解決該等問題的一些方法涉及清除及/或排空遞送管道以移除殘留之反應物。然而,清除遞送管道可能增加處理站的循環時間,而降低處理站的產量。因此,在某些實施例中,可對汽化點403下游之遞送管道進行加熱保溫。在某些實例中,混合容器404亦受到加熱保溫。在一非限制性的實例中,汽化點403下游之管道具有在混合容器404處自約100℃延伸至約150℃之遞增的溫度曲線。
在某些實施例中,液體前驅物或液體反應物可於液體注入器進行汽化。例如,液體注入器可將液體反應物之脈衝注入該混合容器上游之載氣氣流中。在一實施例中,液體注入器可藉由將液體從較高壓力急驟蒸發至較低壓力而使該反應物汽化。在另一實施中,液體注入器可使該液體霧化為分散微滴,該分散微滴隨後於加熱的遞送管道中汽化。較小的液滴可較較大液滴更快汽化,而縮短液體注入與完全汽化之間的延遲。較快的汽化可縮短自汽化點403下游之管道的長度。在一情況下,液體注入器可直接安裝至混合容器404。在另一情況下,液體注入器可直接安裝至噴淋頭406。
在某些實施例中,汽化點403上游之液體流量控制器(LFC, liquid flow controller)係設置以控制汽化並遞送至處理站400之液體的質流。舉例而言,該LFC可包含位於LFC下游之熱質量流量計(MFM, thermal mass flow meter)。該LFC之活塞閥係因應由與該MFM電性連通之比例-積分-微分(PID, proportional-integral-derivative)控制器所提供之反饋控制信號而調整。然而,使用反饋控制來使液體流量穩定需要1秒鐘或更久。此情況可能延長施用液體反應物的時間。因此,在某些實施例中,該LFC係於反饋控制模式與直接控制模式之間進行動態切換。在某些實施例中,藉由停止該LFC的感測管及該PID控制器,可執行該動態切換。
噴淋頭406朝向基板412分配製程氣體。在圖4所示之實施例中,基板412係位於噴淋頭406之下方,且係顯示為置於基座408上。噴淋頭406可具有任何合適的形狀,且可具有用於將製程氣體分配至基板412之任何合適的數目及配置之埠口。
選擇性地,於部分製程期間,基座408可下降及/或上升以調節製程壓力、反應物濃度等。應瞭解,在某些實施例中,藉由合適的電腦控制器450,可程式性地調整基座高度。
在另一情況下,調整基座408的高度可允許於包含於該製程中之電漿活化及/或處理循環期間改變電漿密度。在該製程階段結束時,於另一基板搬運階段期間,使基座408下降以允許自基座408移去基板412。
再者,應瞭解,在本揭露內容之範圍內,可藉由任何合適的機制來改變基座408及/或噴淋頭406的垂直位置。在某些實施例中,基座408可包含用以轉動基板412之方向的轉軸。應瞭解,在某些實施例中,藉由一或更多的合適的電腦控制器450,可程式性地執行該等例示性調整之一或更多者。
在某些實施例中,其中可使用如上討論之電漿,噴淋頭406及基座408與射頻(RF, radio frequency)電源414及匹配網路416電性連通以對電漿供電。在某些實施例中,藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時序之其中一或多者,而可控制該電漿能量。例如,RF電源414及匹配網路416可在任何適當的功率下操作,以形成具有期望之自由基物種組成物的電漿。合適功率之範例包含於上。同樣地,RF電源414可提供任何合適的頻率之RF功率。在某些實施例中,RF電源414係可配置以互相獨立地控制高頻及低頻RF電源。例示性低頻RF頻率可包含(但不限於)介於50 kHz與500 kHz之間的頻率。例示性高頻RF頻率可包含(但不限於)介於1.8 MHz與2.45 GHz之間的頻率。應瞭解,可不連續或連續地調節任何合適的參數以對表面反應提供電漿能量。在一非限制性之實例中,相對於連續地對電漿供電,可間歇地以脈衝輸送電漿功率以降低基板表面之離子轟擊。
在某些實施例中,可藉由一或更多的電漿監視器來原位監測電漿。在一情況下,可藉由一或更多的電壓、電流感測器(如:VI探針)來監視電漿功率。在另一情況下,可藉由一或更多的光學放射光譜(OES, optical emission spectroscopy)感測器來量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於來自此類原位電漿監視器之量測而程式性地調整一或更多的電漿參數。舉例而言,OES感測器可用於用以提供電漿功率之程式性控制的反饋迴路中。應瞭解,在某些實施例中,可使用其他監視器來監視該電漿及其他製程特性。此類監視器可包含(但不限於)紅外線(IR, infrared)監視器、聲波監視器、及壓力傳感器。
在某些實施例中,可經由輸入/輸出控制(IOC, input/output control) 定序指令來提供控制器450之指令。在一實例中,設定製程階段之條件的指令可包含於製程配方的對應配方階段中。在某些情況下,可依序安排製程配方階段,以使製程階段的所有指令與該製程階段同時執行。在某些實施例中,設定一或更多的反應器參數之指令可包含於配方階段中。例如,第一配方階段可包含設定惰性氣體及/或反應氣體(如:如TiI4 之第一前驅物)之流率的指令、設定載氣(如氬或氮)之流率的指令、及第一配方階段之時間延遲指令。隨後的第二配方階段可包含調整或停止惰性氣體及/或反應氣體之流率的指令、及調整載氣或清除氣體之流率的指令、及第二配方階段之時間延遲指令。第三配方階段可包含設定惰性氣體及/或反應氣體 (其可與用於第一配方階段中之氣體相同或不同)(如:如氬之第二前驅物)之流率的指令、調整載氣之流率的指令、及第三配方階段之時間延遲指令。第四配方階段可包含調整或停止惰性氣體及/或反應氣體之流率的指令、調整載氣或清除氣體之流率的指令、及第四配方階段之時間延遲指令。應瞭解,在本揭露內容之範圍內可以任何合適的方式進一步再分割及/或重複該等配方階段。
在某些實施例中,可經由加熱器410對基座408進行溫度控制。例如,在沉積高度保形及高純度鈦層之期間,可於低溫(如300℃)下使用加熱器410來加熱基座408。再者,在某些實施例中,藉由蝶形閥418,可提供針對處理站400之壓力控制。如圖4實施例中所示,蝶形閥418調節由下游真空泵(未顯示)所提供之真空。然而,在某些實施例中,藉由改變被導入至處理站400之一或更多的氣體的流率,亦可調整處理站400之壓力控制。
如上所述,一或更多的處理站可包含於多站處理工具中。圖5顯示具有入站負載鎖室502及出站負載鎖室504(其中一者或兩者可包含遠端電漿源)之多站處理工具500的示意圖。處於大氣壓力下之機械臂506係配置成將晶圓自通過箱體508而載入之卡匣,經由大氣埠510,移至入站負載鎖502室中。藉由機械臂506將晶圓放置於入站負載鎖室502中的基座512上,關閉大氣埠510、並將負載鎖室抽空。其中入站負載鎖室502包含遠端電漿源,在將晶圓導入處理腔室514之前,可將晶圓曝露至負載鎖室中的遠端電漿處理。再者,亦可於入站負載鎖室502中將晶圓加熱,以例如去除水分及吸附之氣體。接著,開啟通往處理腔室514之腔室傳送埠516,而另一機械臂(未顯示)將晶圓放置於反應器中之該反應器中所示第一站的基座上以供處理。雖然圖5中所描繪之實施例包括負載鎖室,但應瞭解,在某些實施例中,可提供晶圓至處理站之直接進入。
該所描繪之處理腔室514包含四個處理站,在圖5中所示之實施例中,從1至4編號。各站皆具有加熱基座(顯示於站1的518處)、及氣體管線入口。應瞭解,在某些實施例中,各處理站可具有不同或多種目的。例如,在某些實施例中,處理站可在ALD與電漿輔助ALD處理模式之間進行切換。此外或或者,在某些實施例中,處理腔室514可包含ALD與電漿輔助ALD處理站之一或更多的匹配對。雖然該所描繪之處理腔室514包含四個處理站,但應瞭解,依據本揭露內容之處理腔室可具有任何合適數量的站。例如,在某些實施例中,處理腔室可具有五或更多的站,而在其他實施例中,處理腔室可具有三或更少的站。
圖5描繪在處理腔室514內用以傳送晶圓之晶圓搬運系統的實施例。在某些實施例中,晶圓搬運系統可在各種處理站之間及/或在處理站與負載鎖室之間傳送晶圓。應瞭解,可使用任何合適的晶圓搬運系統。非限制性之實例包含晶圓旋轉料架及晶圓搬運機械臂。圖5亦描繪系統控制器550之實施例,該系統控制器係用以控制處理工具500之製程條件與硬體狀態。系統控制器550可包含一或更多的記憶裝置556、一或更多的大量儲存裝置554、及一或更多的處理器552。處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進馬達控制器板等。
在某些實施例中,系統控制器550控制處理工具500的所有作業。系統控制器550執行系統控制軟體558,該系統控制軟體儲存於大量儲存裝置554中、載入至記憶體裝置556中、並於處理器552上執行。或者,可將控制邏輯硬碼化於控制器550中。特殊應用積體電路、可編程邏輯裝置(如:場可程式化閘陣列(FPGAs, field-programmable gate arrays))等,可用於該等用途。在下列討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體558可包含用以控制時序、氣體之混合、不完全飽和之氣體氣流的量、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾具及/或載具位置、及由處理工具500所執行的特定製程之其他參數的指令。系統控制軟體558可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標,以控制執行各種處理工具製程必要之該處理工具元件的操作。系統控制軟體558可以任何合適的電腦可讀取程式語言來進行編碼。
在某些實施例中,系統控制軟體558可包含用以控制上述各種參數之IOC定序指令。在某些實施例中,可使用儲存於與系統控制器550相關聯之大量儲存裝置554及/或記憶裝置556上的其他電腦軟體及/或程式。用於此用途之程式或程式片段的範例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含處理工具元件的程式碼,該處理工具元件係用以將基板裝載至基座518上,並控制基板與處理工具500其他部分之間的間距。
製程氣體控制程式可包含編碼,該編碼係用以控制氣體組成物(如:TMA、氨、及如本說明書中所敘述之清除氣體)、及流率、及選擇性地用以在沉積前將氣體流入一或更多的處理站以使該處理站中之壓力穩定。壓力控制程式可包含編碼,該編碼係藉由調節例如該處理站之排氣系統中的節流閥、進入該處理站之氣體氣流等,以控制該處理站中之壓力。
加熱器控制程式可包含編碼,該編碼係用以控制通往用於加熱基板之加熱單元的電流。或者,該加熱器控制程式可控制熱傳氣體(如氦)至基板之遞送。
電漿控制程式可包含編碼,該編碼係用以設定施加至依據本說明書中之實施例之一或更多處理站中之處理電極的RF功率位準。
壓力控制程式可包含編碼,該編碼係用以維持依據本說明書中之實施例的反應腔室中之壓力。
在某些實施例中,可存在有與系統控制器550相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在某些實施例中,由系統控制器550所調整之參數可與製程條件有關。非限制性之範例包含製程氣體組成物及流率、溫度、壓力、電漿條件(如RF偏壓功率位準)等。可以配方的形式將該等參數提供給使用者,並可利用使用者介面來輸入該等參數。
可藉由系統控制器550之類比及/或數位輸入連接部,而自各種處理工具感測器提供用以監視製程的信號。可於處理工具500之類比及數位輸出連接部上,輸出用以控制製程之信號。可受到監測之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化之反饋及控制演算法可與來自該等感測器的資料一起使用,以維持製程條件。
系統控制器550可提供用以實施上述沉積製程的程式指令。該等程式指令可控制種種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以操作根據本說明書中所揭露之各種實施例的原位沉積或膜堆疊。
該系統控制器一般包含配置以執行該等指令之一或更多的記憶裝置及一或更多的處理器,以使該設備能執行依據該等所揭露之實施例之方法。包含依據該等所揭露之實施例來控制製程操作之指令的機器可讀取之媒體,可連接至系統控制器。
用以執行本說明書中所揭露之方法的適當裝置係更進一步於以下案中討論與敘述:申請於2011年4月11日的美國專利申請案第13/084,399號,發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;及申請於2011年4月11日的美國專利申請案第13/084,305號,發明名稱為「SILICON NITRIDE FILMS AND METHODS」。上述申請案之各者整體併入本文中以作為參考文獻。
本說明書中所敘述之該裝置/製程可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用,一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如基板)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。實驗 實驗 1
實施一實驗以評估使用本說明書中所敘述之方法所沉積之鈦膜的特性。使用TiI4 (作為前驅物)及Ar/H2 電漿,藉由ALD在5 kÅ的矽氧化物(如:TEOS)基板上沉積鈦膜。該膜於基座溫度300℃及壓力3 Torr的情況下沉積。
圖6A顯示當鈦膜602沉積時的穿透式電子顯微鏡(TEM, transmission electron microscopy)影像。圖6B顯示該相同之膜的放大TEM影像。該ALD鈦膜與矽氧化物之間的介面看起來係平滑的。膜密度係藉由x射線反射率(XRR, X-ray reflectometry)分析而量測為4.2 g/cc,該測值相當於習知PVD鈦膜所量測之結果。對於140 Å厚的膜而言,該膜的電阻率係量測為約300 µΩ-cm,該測值僅稍微高於習知PVD鈦膜。該毯覆式膜觀察顯示,在低溫下使用TiI4 作為前驅物可沉積合適的鈦膜。實驗 2
使用本說明書中所敘述之方法,藉由ALD以TiI4 作為前驅物來沉積鈦氮化物膜。將該TiI4 前驅物與作為反應物之N2 一起導入腔室。所沉積之TiN膜具有對於60 Å的膜之200µΩ-cm的電阻率,其相當於PVD的TiN之電阻率。該膜之密度係藉由XRR分析而量測為4.6 g/cc,該測值亦相當於PVD的TiN膜之測值。該結果顯示,如本說明書中所敘述之方法中的TiN膜之電阻率係較使用金屬有機前驅物(TDMAT、TEMAT等)所沉積之TiN膜為低。結論
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及改質。應注意,實施本實施例之製程、系統、及設備有許多替代方式。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節。
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
112‧‧‧操作
200‧‧‧方案
210A‧‧‧沉積循環
220A‧‧‧TiI4曝露階段
240A‧‧‧清除階段
260A‧‧‧電漿曝露階段
280A‧‧‧清除階段
210B‧‧‧沉積循環
220B‧‧‧TiI4曝露階段
240B‧‧‧清除階段
260B‧‧‧電漿曝露階段
280B‧‧‧清除階段
312‧‧‧操作
314‧‧‧操作
316‧‧‧操作
318‧‧‧操作
320‧‧‧操作
400‧‧‧處理站/站
401a‧‧‧反應物遞送系統
402‧‧‧處理腔室體/腔室
403‧‧‧汽化點
404‧‧‧混合容器
406‧‧‧噴淋頭
408‧‧‧基座
410‧‧‧加熱器
412‧‧‧基板
413‧‧‧安瓿盒
414‧‧‧RF電源
416‧‧‧匹配網路
420‧‧‧混合容器進氣閥
450‧‧‧控制器
500‧‧‧處理工具
502‧‧‧入站負載鎖室
504‧‧‧出站負載鎖室
506‧‧‧機械臂
508‧‧‧箱體
510‧‧‧大氣埠
512‧‧‧基座
514‧‧‧處理腔室
516‧‧‧腔室傳送埠
518‧‧‧基座
550‧‧‧系統控制器
552‧‧‧處理器
554‧‧‧大量儲存裝置
556‧‧‧記憶裝置
558‧‧‧系統控制軟體
602‧‧‧鈦膜
圖1依據各種實施例,係為一流程圖,繪示沉積鈦之方法中的操作。
圖2依據各種實施例,繪示脈衝之時序圖。
圖3依據各種實施例,係為一流程圖,繪示方法之應用的實例中之操作。
圖4依據所揭露之實施例,係為適用於沉積製程之處理腔室的一示意圖。
圖5依據所揭露之實施例,係為適用於沉積製程之處理系統的一示意圖。
圖6A及6B依據所揭露之實施例的實驗,係為所沉積之膜的穿透式電子顯微鏡(TEM, transmission electron microscopy)影像。
200‧‧‧方案
210A‧‧‧沉積循環
220A‧‧‧TiI4曝露階段
240A‧‧‧清除階段
260A‧‧‧電漿曝露階段
280A‧‧‧清除階段
210B‧‧‧沉積循環
220B‧‧‧TiI4曝露階段
240B‧‧‧清除階段
260B‧‧‧電漿曝露階段
280B‧‧‧清除階段

Claims (20)

  1. 一種在腔室中將鈦沉積於半導體基板上之方法,該方法包含: (a)在低於約450℃的溫度下使用原子層沉積循環來沉積鈦,每一循環包含: (i)將該基板曝露於四碘化鈦, (ii)清除該腔室, (iii)將該基板曝露於引燃之電漿,及 (iv)清除該腔室;及 (b)重複(i)至(iv)直至所需厚度之鈦已沉積於該基板上為止。
  2. 如申請專利範圍第1項之在腔室中將鈦沉積於半導體基板上之方法,更包含在將該基板曝露於四碘化鈦之前,將該基板預潔淨。
  3. 如申請專利範圍第1項之在腔室中將鈦沉積於半導體基板上之方法,其中在(i)至(iv)之整個期間使該基板曝露於載氣。
  4. 如申請專利範圍第1項之在腔室中將鈦沉積於半導體基板上之方法,更包含將所沉積之鈦回火以形成鈦矽化物。
  5. 如申請專利範圍第1項之在腔室中將鈦沉積於半導體基板上之方法,其中該電漿於遠端產生。
  6. 如申請專利範圍第1項之在腔室中將鈦沉積於半導體基板上之方法,其中該電漿在該腔室中產生。
  7. 如申請專利範圍第3項之在腔室中將鈦沉積於半導體基板上之方法,其中該載氣係選自由氬、氫、及其組合所組成之群組。
  8. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中在(i)中該基板曝露於四碘化鈦達一段介於1秒與約30秒之間的持續時間,在(ii)及(iv)中清除該基板各達一段介於1秒與5秒之間的持續時間,而在(iii)中該基板曝露於電漿達一段介於1秒與10秒之間的持續時間。
  9. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中所沉積之鈦具有少於約1%的摻雜物。
  10. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中所沉積之鈦具有至少約4.0 g/cc的膜密度。
  11. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中每一循環沉積具有厚度約為0.5 Å的鈦。
  12. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中所沉積之鈦具有介於約70%與約100%之間的階梯覆蓋率。
  13. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中該腔室具有介於約0.1 Torr與約20 Torr之間的腔室壓力。
  14. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,其中該基板包含具有介於約3:1與約10:1之間的縱橫比之特徵部。
  15. 如申請專利範圍第1-7項中任一項之在腔室中將鈦沉積於半導體基板上之方法,更包含: (a)在低於約450℃的溫度下使用原子層沉積循環來沉積鈦氮化物,每一循環包含: (i)將該基板曝露於四碘化鈦, (ii)清除該腔室, (iii)將該基板曝露於含氮氣體並引燃電漿,及 (iv)清除該腔室;及 (b)重複(i)至(iv)直至所需厚度之鈦氮化物已沉積於該基板上為止。
  16. 如申請專利範圍第15項之在腔室中將鈦沉積於半導體基板上之方法,其中該含氮氣體係選自由氮、氨、聯氨、及胺類所組成之群組。
  17. 一種用於將鈦沉積於半導體基板上之設備,該設備包含: 一反應之腔室,包含用以支撐該基板之基座; 至少一排氣口,用於連接至真空; 一或更多的製程氣體進氣口,其連接至一或更多個前驅物源; 一射頻(RF, radio frequency)產生器;及 一控制器,用於控制該設備中之操作,該控制器包含機器可讀取之指令,該等指令用於: (a)將該反應之腔室中之該基座的溫度設定至低於約450℃的溫度, (b)將四碘化鈦導入至該腔室, (c)清除該腔室, (d)在該腔室中提供電漿,及 (e)清除該腔室,及 (f)重複(b)至(e)。
  18. 如申請專利範圍第17項之用於將鈦沉積於半導體基板上之設備,其中該等指令係配置成在每一(b)至(e)的循環中沉積約0.5 Å的鈦。
  19. 如申請專利範圍第17或18項之用於在半導體基板上沉積鈦之設備,其中該控制器更包含機器可讀取之指令,該指令用於: (g)將四碘化鈦導入至該腔室, (h)清除該腔室, (i)在該腔室中提供電漿, (j)將含氮氣體導入至該腔室,及 (k)清除該腔室;及 (l)重複(g)至(k)。
  20. 如申請專利範圍第19項之用於將鈦沉積於半導體基板上之設備,其中該含氮氣體係選自由氮、氨、聯氨、及胺類所組成之群組。
TW104126934A 2014-08-20 2015-08-19 使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備 TWI682057B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/464,462 US9478438B2 (en) 2014-08-20 2014-08-20 Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US14/464,462 2014-08-20

Publications (2)

Publication Number Publication Date
TW201623680A true TW201623680A (zh) 2016-07-01
TWI682057B TWI682057B (zh) 2020-01-11

Family

ID=55348888

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126934A TWI682057B (zh) 2014-08-20 2015-08-19 使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備

Country Status (4)

Country Link
US (1) US9478438B2 (zh)
KR (1) KR20160022792A (zh)
CN (1) CN105390370B (zh)
TW (1) TWI682057B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9478411B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11430661B2 (en) * 2018-12-28 2022-08-30 Applied Materials, Inc. Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition
US11081364B2 (en) * 2019-02-06 2021-08-03 Micron Technology, Inc. Reduction of crystal growth resulting from annealing a conductive material
JP7304435B2 (ja) * 2019-05-31 2023-07-06 アプライド マテリアルズ インコーポレイテッド 基板上に膜を形成するための方法およびシステム
CN112626501A (zh) * 2019-10-09 2021-04-09 长鑫存储技术有限公司 等离子体沉积薄膜杂质含量的改善方法及控制装置
CN110672667B (zh) * 2019-10-17 2021-02-26 北京航空航天大学 一种用于测量等离子体沉积的动态压阻探针
US11646226B2 (en) * 2020-05-11 2023-05-09 Applied Materials, Inc. Method of tuning film properties of metal nitride using plasma
US11664229B2 (en) 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties
CN114807893A (zh) * 2021-01-19 2022-07-29 圆益Ips股份有限公司 薄膜形成方法
CN113275589B (zh) * 2021-05-20 2024-01-23 亚芯半导体材料(江苏)有限公司 高纯度钛粉、钨钛合金溅射靶材的制备方法及系统
US20230377892A1 (en) * 2022-05-19 2023-11-23 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3378508D1 (en) 1982-09-10 1988-12-22 Nippon Telegraph & Telephone Plasma deposition method and apparatus
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6094757A (ja) 1983-10-20 1985-05-27 Fujitsu Ltd 抵抗体
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5514908A (en) 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
EP0784713A4 (en) 1994-10-11 2000-03-01 Gelest Inc TITANIUM BASED CONFORMING LAYERS AND PREPARATION METHOD
CN1075243C (zh) 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5700519A (en) 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
KR100273989B1 (ko) 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
WO1999027579A1 (en) 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6093966A (en) 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010042649A (ko) 1999-02-12 2001-05-25 베리 아이클스 텅스텐 질화물의 화학기상증착
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US7141278B2 (en) 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6350688B1 (en) 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP2004039699A (ja) 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6716753B1 (en) 2002-07-29 2004-04-06 Taiwan Semiconductor Manufacturing Company Method for forming a self-passivated copper interconnect structure
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6841044B1 (en) 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
KR100599434B1 (ko) 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070018214A1 (en) 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
JP2009507752A (ja) 2005-09-09 2009-02-26 ユニバーシティ・オブ・ネバダ・リノ 酸素空孔を有するナノチューブ状チタニア基材の製造及び水の光電気分解におけるそれらの使用
EP1949416A2 (en) * 2005-09-23 2008-07-30 Nxp B.V. A method of fabricating a structure for a semiconductor device
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR20080106984A (ko) 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
EP2252389A4 (en) * 2008-01-14 2011-06-08 Nanexa Ab BIOCOMPATIBLE FILTER ELEMENT FOR DIALYSIS OF BODILY FLUIDS, ITS MANUFACTURE AND USE
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR101897604B1 (ko) * 2010-04-28 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
EP3540300B1 (en) 2010-11-10 2024-05-08 Shoei Chemical Inc. Quantum dot films, lighting devices, and lighting methods
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
SG10201607194PA (en) 2011-09-23 2016-10-28 Novellus Systems Inc Plasma activated conformal dielectric film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CA2927191C (en) 2013-10-17 2022-02-15 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS

Also Published As

Publication number Publication date
TWI682057B (zh) 2020-01-11
CN105390370A (zh) 2016-03-09
US20160056053A1 (en) 2016-02-25
US9478438B2 (en) 2016-10-25
CN105390370B (zh) 2018-11-30
KR20160022792A (ko) 2016-03-02

Similar Documents

Publication Publication Date Title
TWI682057B (zh) 使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10141505B2 (en) Bromine containing silicon precursors for encapsulation layers
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
TWI682054B (zh) 使用原子層沉積鈦膜以使cmos用之基於氧化鈦/鈦的金屬絕緣半導體接觸方案的接觸電阻最小化之氧化鈦化學計量的調節方法
TW201903829A (zh) 矽氮化物之選擇性成長
CN114342062A (zh) 钼沉积
TW201843333A (zh) 用於內連線之鎢碳氮化物阻障/黏著層的選擇性沉積
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR102701195B1 (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱